Index of /alpine/edge/testing/armv7/


../
3proxy-0.9.5-r1.apk                                18-Nov-2025 00:33    329K
3proxy-doc-0.9.5-r1.apk                            18-Nov-2025 00:33     26K
3proxy-openrc-0.9.5-r1.apk                         18-Nov-2025 00:33    1730
3proxy-systemd-0.9.5-r1.apk                        18-Nov-2025 00:33    1894
66-0.8.2.1-r0.apk                                  04-Jun-2025 04:11    307K
66-dbg-0.8.2.1-r0.apk                              04-Jun-2025 04:11    762K
66-dev-0.8.2.1-r0.apk                              04-Jun-2025 04:11      2M
66-doc-0.8.2.1-r0.apk                              04-Jun-2025 04:11    190K
66-init-0.8.2.1-r0.apk                             04-Jun-2025 04:11    1575
66-tools-0.1.2.0-r0.apk                            02-Jun-2025 00:47     57K
66-tools-dbg-0.1.2.0-r0.apk                        02-Jun-2025 00:47    111K
66-tools-dev-0.1.2.0-r0.apk                        02-Jun-2025 00:47    2053
66-tools-doc-0.1.2.0-r0.apk                        02-Jun-2025 00:47     39K
66-tools-nsrules-0.1.2.0-r0.apk                    02-Jun-2025 00:47    2926
APKINDEX.tar.gz                                    25-Dec-2025 09:03    729K
a2jmidid-9-r3.apk                                  26-Oct-2024 04:05     25K
a2jmidid-doc-9-r3.apk                              26-Oct-2024 04:05    4283
abc-0_git20240102-r0.apk                           26-Oct-2024 04:05      4M
abnfgen-0.21-r0.apk                                28-May-2025 06:41     15K
abnfgen-doc-0.21-r0.apk                            28-May-2025 06:41    5192
ace-of-penguins-1.4-r3.apk                         26-Oct-2024 04:05    142K
ace-of-penguins-doc-1.4-r3.apk                     26-Oct-2024 04:05     49K
acmeleaf-0.2.0-r1.apk                              04-Dec-2025 22:54      5M
acmeleaf-doc-0.2.0-r1.apk                          04-Dec-2025 22:54    5422
acmetool-0.2.2-r18.apk                             04-Dec-2025 22:54      5M
acmetool-doc-0.2.2-r18.apk                         04-Dec-2025 22:54     47K
adbd-0_git20251004-r0.apk                          08-Oct-2025 19:22     35K
adguardhome-0.107.71-r0.apk                        14-Dec-2025 01:15     11M
adguardhome-openrc-0.107.71-r0.apk                 14-Dec-2025 01:15    2245
adjtimex-1.29-r0.apk                               26-Oct-2024 04:05     19K
adjtimex-doc-1.29-r0.apk                           26-Oct-2024 04:05    7259
admesh-0.98.5-r0.apk                               26-Oct-2024 04:05     24K
admesh-dev-0.98.5-r0.apk                           26-Oct-2024 04:05    4141
admesh-doc-0.98.5-r0.apk                           26-Oct-2024 04:05     23K
advancemame-3.9-r4.apk                             26-Oct-2024 04:05     12M
advancemame-data-3.9-r4.apk                        26-Oct-2024 04:05      6M
advancemame-doc-3.9-r4.apk                         26-Oct-2024 04:05    374K
advancemame-menu-3.9-r4.apk                        26-Oct-2024 04:05    876K
advancemame-mess-3.9-r4.apk                        26-Oct-2024 04:05      4M
advancescan-1.18-r1.apk                            26-Oct-2024 04:05    223K
advancescan-doc-1.18-r1.apk                        26-Oct-2024 04:05    7441
afetch-2.2.0-r1.apk                                26-Oct-2024 04:05    9399
afetch-doc-2.2.0-r1.apk                            26-Oct-2024 04:05     14K
afew-3.0.1-r0.apk                                  19-May-2025 07:30     73K
afew-doc-3.0.1-r0.apk                              19-May-2025 07:30     12K
agate-3.3.19-r0.apk                                24-Sep-2025 05:48    656K
agate-openrc-3.3.19-r0.apk                         24-Sep-2025 05:48    2007
aggregate6-1.0.14-r0.apk                           14-Oct-2025 20:05    7432
aggregate6-doc-1.0.14-r0.apk                       14-Oct-2025 20:05    3005
aggregate6-pyc-1.0.14-r0.apk                       14-Oct-2025 20:05    5950
agrep-0.8.0-r2.apk                                 26-Oct-2024 04:05    8028
agrep-doc-0.8.0-r2.apk                             26-Oct-2024 04:05    4211
aide-0.19.2-r0.apk                                 09-Sep-2025 22:17     80K
aide-doc-0.19.2-r0.apk                             09-Sep-2025 22:17     16K
alacritty-graphics-0.16.1-r0.apk                   18-Dec-2025 09:03      2M
alacritty-graphics-bash-completion-0.16.1-r0.apk   18-Dec-2025 09:03    2832
alacritty-graphics-doc-0.16.1-r0.apk               18-Dec-2025 09:03     41K
alacritty-graphics-fish-completion-0.16.1-r0.apk   18-Dec-2025 09:03    3146
alacritty-graphics-zsh-completion-0.16.1-r0.apk    18-Dec-2025 09:03    3455
alertmanager-irc-relay-0.5.1-r1.apk                09-Sep-2025 22:17      5M
alertmanager-irc-relay-openrc-0.5.1-r1.apk         09-Sep-2025 22:17    2035
alpine-lift-0.2.0-r28.apk                          04-Dec-2025 22:54      4M
alps-0_git20230807-r17.apk                         04-Dec-2025 22:54      6M
alps-openrc-0_git20230807-r17.apk                  04-Dec-2025 22:54    2065
alttab-1.7.1-r0.apk                                26-Oct-2024 04:05     35K
alttab-doc-1.7.1-r0.apk                            26-Oct-2024 04:05     10K
amber-0.4.0_alpha-r0.apk                           19-Sep-2025 23:53    471K
amber-mpris-1.2.9-r0.apk                           23-Dec-2024 02:00    183K
amber-mpris-dev-1.2.9-r0.apk                       23-Dec-2024 02:00    6880
amdgpu-fan-0.1.0-r5.apk                            26-Oct-2024 04:05     14K
amdgpu-fan-pyc-0.1.0-r5.apk                        26-Oct-2024 04:05    9845
amdgpu_top-0.11.0-r0.apk                           03-Sep-2025 09:48      6M
amdgpu_top-doc-0.11.0-r0.apk                       03-Sep-2025 09:48    4075
amiitool-2-r2.apk                                  26-Oct-2024 04:05    6417
ampy-1.1.0-r6.apk                                  19-Mar-2025 20:43     15K
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 20:43    4194
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 20:43     19K
amule-2.3.3-r13.apk                                26-Oct-2024 04:05      4M
amule-doc-2.3.3-r13.apk                            26-Oct-2024 04:05    281K
amule-lang-2.3.3-r13.apk                           26-Oct-2024 04:05      2M
anarch-1.0-r1.apk                                  26-Oct-2024 04:05     90K
anarch-doc-1.0-r1.apk                              26-Oct-2024 04:05     18K
anari-sdk-0.7.2-r0.apk                             26-Oct-2024 04:05    280K
anari-sdk-dev-0.7.2-r0.apk                         26-Oct-2024 04:05     59K
anari-sdk-static-0.7.2-r0.apk                      26-Oct-2024 04:05    193K
android-apkeep-0.17.0-r0.apk                       26-Oct-2024 04:05      2M
android-build-tools-15.0-r1.apk                    12-Dec-2025 07:06      2M
android-file-transfer-4.5-r0.apk                   25-Jun-2025 08:02    183K
android-file-transfer-cli-4.5-r0.apk               25-Jun-2025 08:02    100K
android-file-transfer-dev-4.5-r0.apk               25-Jun-2025 08:02    1604
android-file-transfer-libs-4.5-r0.apk              25-Jun-2025 08:02    117K
android-translation-layer-0_git20251006-r0.apk     08-Oct-2025 19:22      3M
android-translation-layer-dbg-0_git20251006-r0.apk 08-Oct-2025 19:22    902K
angband-4.2.5-r0.apk                               26-Oct-2024 04:05     23M
ansible-bender-0.10.1-r2.apk                       26-Oct-2024 04:05     36K
ansible-bender-doc-0.10.1-r2.apk                   26-Oct-2024 04:05     10K
ansible-bender-pyc-0.10.1-r2.apk                   26-Oct-2024 04:05     65K
antibody-6.1.1-r33.apk                             04-Dec-2025 22:54      2M
antimicrox-3.5.1-r0.apk                            13-Jun-2025 23:11      2M
antimicrox-doc-3.5.1-r0.apk                        13-Jun-2025 23:11     24K
aoetools-37-r2.apk                                 14-Jan-2025 22:44     19K
aoetools-doc-37-r2.apk                             14-Jan-2025 22:44     14K
apache-mod-auth-gssapi-1.6.5-r1.apk                26-Oct-2024 04:05     51K
apache-mod-auth-openidc-2.4.16.11-r1.apk           22-May-2025 15:51    215K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk       22-May-2025 15:51    4554
apache-mod-auth-openidc-static-2.4.16.11-r1.apk    22-May-2025 15:51    278K
apache2-mod-authnz-external-3.3.3-r0.apk           26-Oct-2024 04:05    7022
apache2-mod-authnz-external-doc-3.3.3-r0.apk       26-Oct-2024 04:05     10K
apache2-mod-maxminddb-1.2.0-r0.apk                 19-May-2025 19:23     10K
apache2-mod-perl-2.0.13-r2.apk                     02-Jul-2025 03:10    620K
apache2-mod-perl-dbg-2.0.13-r2.apk                 02-Jul-2025 03:10     78K
apache2-mod-perl-dev-2.0.13-r2.apk                 02-Jul-2025 03:10     38K
apache2-mod-perl-doc-2.0.13-r2.apk                 02-Jul-2025 03:10    304K
apache2-mod-realdoc-1-r1.apk                       26-Oct-2024 04:05    4660
apk-autoupdate-0_git20210421-r1.apk                20-Nov-2024 11:43     13K
apk-autoupdate-doc-0_git20210421-r1.apk            20-Nov-2024 11:43    7123
apk-snap-3.1.1-r0.apk                              26-Oct-2024 04:05    6783
apk-snap-doc-3.1.1-r0.apk                          26-Oct-2024 04:05     20K
apmpkg-1.5.1-r3.apk                                26-Oct-2024 04:05      1M
apmpkg-bash-completion-1.5.1-r3.apk                26-Oct-2024 04:05    2214
apmpkg-doc-1.5.1-r3.apk                            26-Oct-2024 04:05    3099
apmpkg-fish-completion-1.5.1-r3.apk                26-Oct-2024 04:05    2092
apmpkg-zsh-completion-1.5.1-r3.apk                 26-Oct-2024 04:05    2478
aports-glmr-0.2-r33.apk                            04-Dec-2025 22:54      3M
appcenter-8.0.0-r0.apk                             13-Nov-2024 06:55    382K
appcenter-lang-8.0.0-r0.apk                        13-Nov-2024 06:55    258K
aprilsh-0.7.12-r10.apk                             04-Dec-2025 22:54    1680
aprilsh-client-0.7.12-r10.apk                      04-Dec-2025 22:54      3M
aprilsh-doc-0.7.12-r10.apk                         04-Dec-2025 22:54     14K
aprilsh-openrc-0.7.12-r10.apk                      04-Dec-2025 22:54    1867
aprilsh-server-0.7.12-r10.apk                      04-Dec-2025 22:54      2M
apt-dater-1.0.4-r4.apk                             26-Oct-2024 04:05     53K
apt-dater-doc-1.0.4-r4.apk                         26-Oct-2024 04:05     10K
apt-dater-lang-1.0.4-r4.apk                        26-Oct-2024 04:05     13K
apt-mirror-0.5.4-r0.apk                            26-Oct-2024 04:05    9672
apt-mirror-doc-0.5.4-r0.apk                        26-Oct-2024 04:05    4741
apt-swarm-0.5.1-r1.apk                             12-Oct-2025 22:43      3M
apt-swarm-bash-completion-0.5.1-r1.apk             12-Oct-2025 22:43    4286
apt-swarm-fish-completion-0.5.1-r1.apk             12-Oct-2025 22:43    5877
apt-swarm-openrc-0.5.1-r1.apk                      12-Oct-2025 22:43    1996
apt-swarm-zsh-completion-0.5.1-r1.apk              12-Oct-2025 22:43    6015
aptdec-1.8.0-r1.apk                                09-Feb-2025 08:44     84K
aptdec-dev-1.8.0-r1.apk                            09-Feb-2025 08:44    3507
aptdec-libs-1.8.0-r1.apk                           09-Feb-2025 08:44     13K
apulse-0.1.14-r0.apk                               09-Sep-2025 22:17     33K
apulse-doc-0.1.14-r0.apk                           09-Sep-2025 22:17    3017
apx-2.4.5-r5.apk                                   04-Dec-2025 22:54      4M
apx-doc-2.4.5-r5.apk                               04-Dec-2025 22:54    2464
aqemu-0.9.4-r3.apk                                 26-Oct-2024 04:05      2M
aqemu-doc-0.9.4-r3.apk                             26-Oct-2024 04:05    7700
arc-20221218-r0.apk                                26-Oct-2024 04:05    1790
arc-cinnamon-20221218-r0.apk                       26-Oct-2024 04:05     68K
arc-dark-20221218-r0.apk                           26-Oct-2024 04:05    1796
arc-dark-cinnamon-20221218-r0.apk                  26-Oct-2024 04:05     68K
arc-dark-gnome-20221218-r0.apk                     26-Oct-2024 04:05     27K
arc-dark-gtk2-20221218-r0.apk                      26-Oct-2024 04:05     38K
arc-dark-gtk3-20221218-r0.apk                      26-Oct-2024 04:05     93K
arc-dark-gtk4-20221218-r0.apk                      26-Oct-2024 04:05     86K
arc-dark-metacity-20221218-r0.apk                  26-Oct-2024 04:05     17K
arc-dark-xfwm-20221218-r0.apk                      26-Oct-2024 04:05    8062
arc-darker-20221218-r0.apk                         26-Oct-2024 04:05    1804
arc-darker-gtk2-20221218-r0.apk                    26-Oct-2024 04:05     39K
arc-darker-gtk3-20221218-r0.apk                    26-Oct-2024 04:05    124K
arc-darker-gtk4-20221218-r0.apk                    26-Oct-2024 04:05    110K
arc-darker-metacity-20221218-r0.apk                26-Oct-2024 04:05     17K
arc-darker-xfwm-20221218-r0.apk                    26-Oct-2024 04:05    8062
arc-gnome-20221218-r0.apk                          26-Oct-2024 04:05     29K
arc-gtk2-20221218-r0.apk                           26-Oct-2024 04:05     38K
arc-gtk3-20221218-r0.apk                           26-Oct-2024 04:05    126K
arc-gtk4-20221218-r0.apk                           26-Oct-2024 04:05    114K
arc-icon-theme-20161122-r0.apk                     26-Oct-2024 04:05      4M
arc-lighter-20221218-r0.apk                        26-Oct-2024 04:05    1807
arc-lighter-gtk2-20221218-r0.apk                   26-Oct-2024 04:05     38K
arc-lighter-gtk3-20221218-r0.apk                   26-Oct-2024 04:05    125K
arc-lighter-gtk4-20221218-r0.apk                   26-Oct-2024 04:05    113K
arc-lighter-metacity-20221218-r0.apk               26-Oct-2024 04:05     17K
arc-lighter-xfwm-20221218-r0.apk                   26-Oct-2024 04:05    7902
arc-metacity-20221218-r0.apk                       26-Oct-2024 04:05     17K
arc-theme-20221218-r0.apk                          26-Oct-2024 04:05    1482
arc-xfwm-20221218-r0.apk                           26-Oct-2024 04:05    7882
arduino-cli-1.3.1-r2.apk                           04-Dec-2025 22:54      9M
arif-0.3.0-r0.apk                                  30-Nov-2025 19:11     15K
arif-dev-0.3.0-r0.apk                              30-Nov-2025 19:11    3553
arif-doc-0.3.0-r0.apk                              30-Nov-2025 19:11     19K
armagetronad-0.2.9.1.1-r0.apk                      26-Oct-2024 04:05      1M
armagetronad-doc-0.2.9.1.1-r0.apk                  26-Oct-2024 04:05     92K
art_standalone-0_git20251004-r0.apk                08-Oct-2025 19:22     18M
art_standalone-dbg-0_git20251004-r0.apk            08-Oct-2025 19:22    132M
art_standalone-dev-0_git20251004-r0.apk            08-Oct-2025 19:22      9M
asdf-0.18.0-r5.apk                                 04-Dec-2025 22:54      2M
asdf-doc-0.18.0-r5.apk                             04-Dec-2025 22:54    2299
aspell-es-1.11-r0.apk                              26-Oct-2024 04:05    533K
asymptote-3.04-r0.apk                              31-May-2025 15:51      1M
asymptote-doc-3.04-r0.apk                          31-May-2025 15:51      3M
atac-0.18.1-r0.apk                                 26-Nov-2024 06:43      4M
atlantik-3.5.10_git20240323-r0.apk                 26-Oct-2024 04:05    354K
atlantik-doc-3.5.10_git20240323-r0.apk             26-Oct-2024 04:05     79K
atlantik-lang-3.5.10_git20240323-r0.apk            26-Oct-2024 04:05     69K
atomicparsley-20240608-r0.apk                      26-Oct-2024 04:05    107K
atool-0.39.0-r4.apk                                26-Oct-2024 04:05     18K
atool-bash-completion-0.39.0-r4.apk                26-Oct-2024 04:05    2090
atool-doc-0.39.0-r4.apk                            26-Oct-2024 04:05    9835
aufs-util-20161219-r3.apk                          26-Oct-2024 04:05    159K
aufs-util-dev-20161219-r3.apk                      26-Oct-2024 04:05    1491
aufs-util-doc-20161219-r3.apk                      26-Oct-2024 04:05     34K
authenticator-rs-0.8.6-r0.apk                      02-Sep-2025 03:27      2M
authenticator-rs-lang-0.8.6-r0.apk                 02-Sep-2025 03:27    4162
autoconf-policy-0.1-r0.apk                         26-Oct-2024 04:05    5621
autoremove-torrents-1.5.5-r0.apk                   26-Oct-2024 04:05     35K
autoremove-torrents-doc-1.5.5-r0.apk               26-Oct-2024 04:05     12K
autoremove-torrents-pyc-1.5.5-r0.apk               26-Oct-2024 04:05     54K
autorestic-1.8.3-r10.apk                           04-Dec-2025 22:54      4M
autoscan-1.4.0-r16.apk                             04-Dec-2025 22:54      5M
autoscan-openrc-1.4.0-r16.apk                      04-Dec-2025 22:54    2097
autotrash-0.4.7-r0.apk                             26-Oct-2024 04:05     23K
autotrash-pyc-0.4.7-r0.apk                         26-Oct-2024 04:05     14K
avahi2dns-0.1.0-r7.apk                             25-Dec-2025 02:05      2M
avahi2dns-openrc-0.1.0-r7.apk                      25-Dec-2025 02:05    1847
avara-0.7.1-r1.apk                                 04-Nov-2024 17:52     21M
avarice-2.14-r4.apk                                26-Oct-2024 04:05     76K
avarice-doc-2.14-r4.apk                            26-Oct-2024 04:05    9666
avra-1.4.2-r0.apk                                  26-Oct-2024 04:05     34K
avra-dev-1.4.2-r0.apk                              26-Oct-2024 04:05    255K
aws-ecr-get-login-password-1.0.0_rc2-r1.apk        04-Dec-2025 22:54      3M
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk    04-Dec-2025 22:54    2381
azote-1.14.0-r0.apk                                15-Dec-2024 05:38      8M
azote-pyc-1.14.0-r0.apk                            15-Dec-2024 05:38     98K
azpainter-3.0.11-r0.apk                            22-Feb-2025 21:34    653K
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 21:34     42K
azure-iot-sdk-c-static-1.11.0-r0.apk               26-Oct-2024 04:05    737K
b2-tools-4.3.2-r0.apk                              11-May-2025 20:57     72K
b2-tools-pyc-4.3.2-r0.apk                          11-May-2025 20:57    136K
backup-manager-0.7.15-r1.apk                       26-Oct-2024 04:05     55K
bacon-3.18.0-r0.apk                                01-Oct-2025 02:38      2M
baikal-0.10.1-r1.apk                               28-May-2025 06:41      1M
baikal-mysql-0.10.1-r1.apk                         28-May-2025 06:41    1319
baikal-pgsql-0.10.1-r1.apk                         28-May-2025 06:41    1320
baikal-sqlite-0.10.1-r1.apk                        28-May-2025 06:41    1471
bake-2.5.1-r0.apk                                  26-Oct-2024 04:05     98K
bakelite-0.4.2-r0.apk                              26-Oct-2024 04:05     36K
baresip-4.1.0-r0.apk                               03-Oct-2025 16:59    989K
baresip-dev-4.1.0-r0.apk                           03-Oct-2025 16:59     16K
barman-3.16.2-r0.apk                               21-Nov-2025 07:09    378K
barman-bash-completion-3.16.2-r0.apk               21-Nov-2025 07:09    1684
barman-doc-3.16.2-r0.apk                           21-Nov-2025 07:09     89K
barman-pyc-3.16.2-r0.apk                           21-Nov-2025 07:09    595K
barnyard2-2.1.14_git20160413-r1.apk                26-Oct-2024 04:05    111K
barnyard2-openrc-2.1.14_git20160413-r1.apk         26-Oct-2024 04:05    2829
barrier-2.4.0-r2.apk                               22-Feb-2025 21:17    860K
barrier-doc-2.4.0-r2.apk                           22-Feb-2025 21:17     13K
bartib-1.0.1-r1.apk                                26-Oct-2024 04:05    312K
base64c-0.2.1-r0.apk                               26-Oct-2024 04:05    4269
base64c-dev-0.2.1-r0.apk                           26-Oct-2024 04:05    5118
bash-pinyin-completion-rs-1.0.3-r0.apk             11-Dec-2025 02:06    280K
bash-pinyin-completion-rs-doc-1.0.3-r0.apk         11-Dec-2025 02:06     14K
bat-extras-2024.08.24-r0.apk                       13-Oct-2025 05:47    5444
bat-extras-batdiff-2024.08.24-r0.apk               13-Oct-2025 05:47    5534
bat-extras-batgrep-2024.08.24-r0.apk               13-Oct-2025 05:47    7527
bat-extras-batman-2024.08.24-r0.apk                13-Oct-2025 05:47    4864
bat-extras-batpipe-2024.08.24-r0.apk               13-Oct-2025 05:47    7196
bat-extras-batwatch-2024.08.24-r0.apk              13-Oct-2025 05:47    5989
bat-extras-doc-2024.08.24-r0.apk                   13-Oct-2025 05:47     16K
bat-extras-prettybat-2024.08.24-r0.apk             13-Oct-2025 05:47    5711
batmon-0.0.1-r0.apk                                26-Oct-2024 04:05    383K
battery-limit-openrc-1-r0.apk                      20-Jun-2025 13:10    1881
bcg729-1.1.1-r1.apk                                15-Oct-2025 17:20     32K
bcg729-dev-1.1.1-r1.apk                            15-Oct-2025 17:20    3710
bchunk-1.2.2-r3.apk                                26-Oct-2024 04:05    7165
bchunk-doc-1.2.2-r3.apk                            26-Oct-2024 04:05    3064
bdfr-2.6.2-r1.apk                                  26-Oct-2024 04:05    131K
beancount-language-server-1.4.1-r0.apk             09-Aug-2025 01:10      1M
beard-0.4-r0.apk                                   26-Oct-2024 04:05    3214
beard-doc-0.4-r0.apk                               26-Oct-2024 04:05    2537
bees-0.10-r2.apk                                   26-Oct-2024 04:05    281K
bees-openrc-0.10-r2.apk                            26-Oct-2024 04:05    1991
belcard-5.3.105-r0.apk                             25-Feb-2025 21:48     12K
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 21:48     11K
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 21:48    188K
belle-sip-5.3.105-r0.apk                           25-Feb-2025 21:52    576K
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 21:52     54K
belr-5.3.105-r0.apk                                25-Feb-2025 21:52     98K
belr-dev-5.3.105-r0.apk                            25-Feb-2025 21:52     15K
berry-lang-1.1.0-r0.apk                            26-Oct-2024 04:05     89K
bestline-0.0_git20211108-r0.apk                    26-Oct-2024 04:05     18K
bestline-dev-0.0_git20211108-r0.apk                26-Oct-2024 04:05    1721
bestline-doc-0.0_git20211108-r0.apk                26-Oct-2024 04:05     18M
bettercap-2.41.5-r0.apk                            16-Dec-2025 10:51     19M
bettercap-doc-2.41.5-r0.apk                        16-Dec-2025 10:51     14K
bgpq4-1.15-r0.apk                                  26-Oct-2024 04:05     31K
bgpq4-doc-1.15-r0.apk                              26-Oct-2024 04:05    6495
bgs-0.8-r1.apk                                     26-Oct-2024 04:05    5738
bgs-doc-0.8-r1.apk                                 26-Oct-2024 04:05    2359
biboumi-9.0-r9.apk                                 08-Oct-2025 19:22    251K
biboumi-doc-9.0-r9.apk                             08-Oct-2025 19:22    1512
biboumi-openrc-9.0-r9.apk                          08-Oct-2025 19:22    1940
bindfs-1.17.7-r1.apk                               20-Jun-2025 13:10     20K
bindfs-doc-1.17.7-r1.apk                           20-Jun-2025 13:10    9273
biometryd-0.3.3-r0.apk                             11-Dec-2025 02:06    278K
biometryd-dev-0.3.3-r0.apk                         11-Dec-2025 02:06     13K
bionic_translation-0_git20251008-r0.apk            09-Oct-2025 08:50     47K
bionic_translation-dbg-0_git20251008-r0.apk        09-Oct-2025 08:50    152K
bionic_translation-dev-0_git20251008-r0.apk        09-Oct-2025 08:50    1803
birdtray-1.11.4-r0.apk                             13-Dec-2025 02:03    424K
bitlbee-facebook-1.2.2-r0.apk                      26-Oct-2024 04:05     50K
bitlbee-mastodon-1.4.5-r0.apk                      26-Oct-2024 04:05     41K
bitritter-0.1.1-r0.apk                             26-Oct-2024 04:05      2M
bkt-0.8.0-r0.apk                                   26-Oct-2024 04:05    313K
bkt-doc-0.8.0-r0.apk                               26-Oct-2024 04:05    7429
blackbox-1.20220610-r1.apk                         26-Oct-2024 04:05     16K
blip-0.10-r0.apk                                   26-Oct-2024 04:05     15K
blip-doc-0.10-r0.apk                               26-Oct-2024 04:05     30K
bochs-2.8-r1.apk                                   22-Feb-2025 21:17    888K
bochs-doc-2.8-r1.apk                               22-Feb-2025 21:17    139K
boinc-7.24.3-r0.apk                                26-Oct-2024 04:05      2M
boinc-dev-7.24.3-r0.apk                            26-Oct-2024 04:05    578K
boinc-doc-7.24.3-r0.apk                            26-Oct-2024 04:05    8160
boinc-gui-7.24.3-r0.apk                            26-Oct-2024 04:06   1001K
boinc-lang-7.24.3-r0.apk                           26-Oct-2024 04:06    877K
boinc-libs-7.24.3-r0.apk                           26-Oct-2024 04:06    198K
boinc-screensaver-7.24.3-r0.apk                    26-Oct-2024 04:06    119K
bomctl-0.1.9-r11.apk                               04-Dec-2025 22:54      9M
bomctl-bash-completion-0.1.9-r11.apk               04-Dec-2025 22:54    5260
bomctl-fish-completion-0.1.9-r11.apk               04-Dec-2025 22:54    4450
bomctl-zsh-completion-0.1.9-r11.apk                04-Dec-2025 22:54    4157
bonzomatic-20230615-r0.apk                         26-Oct-2024 04:06    587K
bootchart2-0.14.9-r1.apk                           18-Nov-2025 00:33    134K
bootchart2-systemd-0.14.9-r1.apk                   18-Nov-2025 00:33    2274
bootinfo-0.1.0-r4.apk                              26-Oct-2024 04:06     19K
bootinfo-pyc-0.1.0-r4.apk                          26-Oct-2024 04:06    8442
bootloose-0.7.1-r16.apk                            04-Dec-2025 22:54      2M
bootterm-0.5-r0.apk                                26-Oct-2024 04:06     17K
bootterm-dbg-0.5-r0.apk                            26-Oct-2024 04:06    2362
bore-0.5.2-r0.apk                                  16-Dec-2024 04:18    492K
boson-0_git20211219-r0.apk                         26-Oct-2024 04:06     15K
botan2-2.19.5-r0.apk                               29-May-2025 14:44    358K
botan2-dev-2.19.5-r0.apk                           29-May-2025 14:44    311K
botan2-doc-2.19.5-r0.apk                           29-May-2025 14:44    306K
botan2-libs-2.19.5-r0.apk                          29-May-2025 14:44      2M
boxes-2.3.1-r0.apk                                 26-Oct-2024 04:06     71K
boxes-doc-2.3.1-r0.apk                             26-Oct-2024 04:06    7284
brial-1.2.11-r4.apk                                26-Oct-2024 04:06   1012K
brial-dev-1.2.11-r4.apk                            26-Oct-2024 04:06      2M
brltty-6.7-r1.apk                                  30-Mar-2025 03:40      2M
brltty-dev-6.7-r1.apk                              30-Mar-2025 03:40    140K
brltty-doc-6.7-r1.apk                              30-Mar-2025 03:40    9612
brltty-lang-6.7-r1.apk                             30-Mar-2025 03:40    149K
brltty-static-6.7-r1.apk                           30-Mar-2025 03:40     22K
btfs-2.24-r12.apk                                  26-Oct-2024 04:06     27K
btfs-doc-2.24-r12.apk                              26-Oct-2024 04:06    2444
btpd-0.16-r2.apk                                   26-Oct-2024 04:06     62K
btpd-doc-0.16-r2.apk                               26-Oct-2024 04:06    8597
budgie-control-center-1.4.0-r0.apk                 17-Oct-2025 02:07      3M
budgie-control-center-bash-completion-1.4.0-r0.apk 17-Oct-2025 02:07    2359
budgie-control-center-lang-1.4.0-r0.apk            17-Oct-2025 02:07      4M
budgie-desktop-10.9.2-r0.apk                       17-Oct-2025 02:07      1M
budgie-desktop-dev-10.9.2-r0.apk                   17-Oct-2025 02:07     18K
budgie-desktop-doc-10.9.2-r0.apk                   17-Oct-2025 02:07    5788
budgie-desktop-lang-10.9.2-r0.apk                  17-Oct-2025 02:07    586K
budgie-screensaver-5.1.0-r0.apk                    17-Oct-2025 02:07     67K
budgie-screensaver-doc-5.1.0-r0.apk                17-Oct-2025 02:07    3422
budgie-screensaver-lang-5.1.0-r0.apk               17-Oct-2025 02:07    240K
budgie-session-0.9.1-r0.apk                        17-Oct-2025 02:07     93K
budgie-session-doc-0.9.1-r0.apk                    17-Oct-2025 02:07    5412
budgie-session-lang-0.9.1-r0.apk                   17-Oct-2025 02:07    307K
buildbot-4.3.0-r0.apk                              25-Aug-2025 22:20    736K
buildbot-badges-4.3.0-r0.apk                       25-Aug-2025 22:20    9541
buildbot-console-view-4.3.0-r0.apk                 25-Aug-2025 22:20     23K
buildbot-grid-view-4.3.0-r0.apk                    25-Aug-2025 22:20     11K
buildbot-pyc-4.3.0-r0.apk                          25-Aug-2025 22:20      1M
buildbot-waterfall-view-4.3.0-r0.apk               25-Aug-2025 22:20     34K
buildbot-worker-4.3.0-r0.apk                       25-Aug-2025 22:20    191K
buildbot-wsgi-dashboards-4.3.0-r0.apk              25-Aug-2025 22:20    426K
buildbot-www-4.3.0-r0.apk                          25-Aug-2025 22:20    422K
buildcache-0.28.9-r0.apk                           26-Oct-2024 04:06    633K
bump2version-1.0.1-r6.apk                          26-Oct-2024 04:06     21K
bump2version-pyc-1.0.1-r6.apk                      26-Oct-2024 04:06     29K
burp-3.1.4-r0.apk                                  26-Oct-2024 04:06    151K
burp-doc-3.1.4-r0.apk                              26-Oct-2024 04:06     99K
burp-server-3.1.4-r0.apk                           26-Oct-2024 04:06     36K
butane-0.25.1-r2.apk                               04-Dec-2025 22:54      3M
bwrap-oci-0.2-r1.apk                               26-Oct-2024 04:06     14K
bwrap-oci-doc-0.2-r1.apk                           26-Oct-2024 04:06    2549
bzmenu-0.2.1-r3.apk                                09-Aug-2025 09:22   1004K
cadence-0.9.2-r1.apk                               09-Oct-2025 19:53      1M
caffeine-ng-4.2.0-r1.apk                           26-Oct-2024 04:06    100K
caffeine-ng-doc-4.2.0-r1.apk                       26-Oct-2024 04:06    3236
caffeine-ng-lang-4.2.0-r1.apk                      26-Oct-2024 04:06     34K
caja-gtkhash-plugin-1.5-r0.apk                     26-Oct-2024 04:06     22K
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 19:07     43K
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 19:07     37K
caps2esc-0.3.2-r0.apk                              26-Oct-2024 04:06    4379
captive-browser-0_git20210801-r2.apk               04-Dec-2025 22:54      1M
captive-browser-doc-0_git20210801-r2.apk           04-Dec-2025 22:54    3766
care-2.3.0-r1.apk                                  26-Oct-2024 04:06     82K
care-doc-2.3.0-r1.apk                              26-Oct-2024 04:06    8070
cargo-crev-0.26.3-r0.apk                           03-Mar-2025 01:14      5M
cargo-geiger-0.13.0-r0.apk                         11-Oct-2025 02:33      5M
cargo-geiger-doc-0.13.0-r0.apk                     11-Oct-2025 02:33    7862
cargo-generate-0.23.5-r0.apk                       04-Oct-2025 22:15      2M
cargo-machete-0.9.1-r0.apk                         18-Aug-2025 03:48      1M
cargo-machete-doc-0.9.1-r0.apk                     18-Aug-2025 03:48    4463
cargo-run-bin-1.7.2-r0.apk                         26-Oct-2024 04:06    383K
cargo-run-bin-doc-1.7.2-r0.apk                     26-Oct-2024 04:06    5162
cargo-show-asm-0.2.53-r0.apk                       30-Oct-2025 09:23    767K
cargo-show-asm-doc-0.2.53-r0.apk                   30-Oct-2025 09:23     10K
cargo-shuttle-0.56.6-r0.apk                        29-Jul-2025 16:57      4M
cargo-shuttle-bash-completion-0.56.6-r0.apk        29-Jul-2025 16:57    5298
cargo-shuttle-doc-0.56.6-r0.apk                    29-Jul-2025 16:57    9211
cargo-shuttle-fish-completion-0.56.6-r0.apk        29-Jul-2025 16:57    9265
cargo-shuttle-zsh-completion-0.56.6-r0.apk         29-Jul-2025 16:57    8129
cargo-udeps-0.1.59-r0.apk                          03-Oct-2025 10:21      4M
cargo-udeps-doc-0.1.59-r0.apk                      03-Oct-2025 10:21    7688
cargo-update-18.0.0-r0.apk                         28-Oct-2025 16:24      1M
cargo-update-doc-18.0.0-r0.apk                     28-Oct-2025 16:24    8709
cargo-vendor-filterer-0.5.18-r0.apk                25-Jul-2025 02:29    567K
cartero-0.2.2-r0.apk                               13-Oct-2025 08:55      1M
cartero-lang-0.2.2-r0.apk                          13-Oct-2025 08:55     45K
castero-0.9.5-r4.apk                               15-May-2025 03:17     50K
castero-pyc-0.9.5-r4.apk                           15-May-2025 03:17     94K
castor-0.9.0-r2.apk                                26-Oct-2024 04:06    650K
catdoc-0.95-r1.apk                                 26-Oct-2024 04:06    106K
catdoc-doc-0.95-r1.apk                             26-Oct-2024 04:06    9470
catfish-4.20.1-r0.apk                              08-Jul-2025 04:21    128K
catfish-doc-4.20.1-r0.apk                          08-Jul-2025 04:21     13K
catfish-lang-4.20.1-r0.apk                         08-Jul-2025 04:21    167K
catfish-pyc-4.20.1-r0.apk                          08-Jul-2025 04:21    104K
catppuccin-whiskers-2.5.1-r0.apk                   30-Nov-2025 04:00      2M
catppuccin-whiskers-doc-2.5.1-r0.apk               30-Nov-2025 04:00    2303
cava-0.10.6-r0.apk                                 12-Sep-2025 17:10     45K
cc65-2.19-r0.apk                                   26-Oct-2024 04:06      9M
ccrtp-2.1.2-r0.apk                                 26-Oct-2024 04:06     86K
ccrtp-dev-2.1.2-r0.apk                             26-Oct-2024 04:06     53K
ccrtp-doc-2.1.2-r0.apk                             26-Oct-2024 04:06     31K
ccze-0.2.1-r1.apk                                  26-Oct-2024 04:06     69K
ccze-dev-0.2.1-r1.apk                              26-Oct-2024 04:06    3402
ccze-doc-0.2.1-r1.apk                              26-Oct-2024 04:06    9052
cdba-1.0-r2.apk                                    26-Oct-2024 04:06    7444
cdba-server-1.0-r2.apk                             26-Oct-2024 04:06     19K
cddlib-0.94m-r2.apk                                26-Oct-2024 04:06    157K
cddlib-dev-0.94m-r2.apk                            26-Oct-2024 04:06     14K
cddlib-doc-0.94m-r2.apk                            26-Oct-2024 04:06    864K
cddlib-static-0.94m-r2.apk                         26-Oct-2024 04:06    218K
cddlib-tools-0.94m-r2.apk                          26-Oct-2024 04:06     34K
cdist-7.0.0-r6.apk                                 26-Oct-2024 04:06    511K
cdist-pyc-7.0.0-r6.apk                             26-Oct-2024 04:06    128K
cdogs-sdl-2.3.2-r0.apk                             10-Oct-2025 03:47     28M
certbot-dns-hetzner-2.0.1-r1.apk                   08-Oct-2025 19:22     10K
certbot-dns-hetzner-pyc-2.0.1-r1.apk               08-Oct-2025 19:22    6613
certbot-dns-njalla-2.0.0-r0.apk                    28-Nov-2024 08:06    9520
certbot-dns-njalla-pyc-2.0.0-r0.apk                28-Nov-2024 08:06    4314
certbot-dns-pdns-0.1.1-r1.apk                      28-Aug-2025 10:19    8818
certbot-dns-pdns-pyc-0.1.1-r1.apk                  28-Aug-2025 10:19    3966
certigo-1.16.0-r28.apk                             04-Dec-2025 22:54      4M
certstrap-1.3.0-r29.apk                            04-Dec-2025 22:54      2M
cfssl-1.6.5-r10.apk                                04-Dec-2025 22:54     29M
cgiirc-0.5.12-r1.apk                               26-Oct-2024 04:06    132K
cgo-0.6.1-r1.apk                                   26-Oct-2024 04:06    9542
cgo-doc-0.6.1-r1.apk                               26-Oct-2024 04:06    4211
charls-2.4.2-r0.apk                                26-Oct-2024 04:06     56K
charls-dev-2.4.2-r0.apk                            26-Oct-2024 04:06     27K
charta-0.8.2-r1.apk                                04-Dec-2025 22:54      2M
chasquid-1.17.0-r0.apk                             20-Dec-2025 00:57     11M
chasquid-doc-1.17.0-r0.apk                         20-Dec-2025 00:57     11K
chasquid-openrc-1.17.0-r0.apk                      20-Dec-2025 00:57    2010
checkpolicy-3.6-r0.apk                             26-Oct-2024 04:06    335K
checkpolicy-doc-3.6-r0.apk                         26-Oct-2024 04:06    4243
cherrytree-1.4.0-r0.apk                            27-Mar-2025 02:40      3M
cherrytree-doc-1.4.0-r0.apk                        27-Mar-2025 02:40    2155
cherrytree-lang-1.4.0-r0.apk                       27-Mar-2025 02:40    859K
chess-tui-2.0.0-r0.apk                             13-Dec-2025 10:11      1M
chess-tui-doc-2.0.0-r0.apk                         13-Dec-2025 10:11    2288
chim-1.1.2-r1.apk                                  26-Oct-2024 04:06      1M
chim-doc-1.1.2-r1.apk                              26-Oct-2024 04:06    2884
chimerautils-15.0.2-r0.apk                         09-Dec-2025 02:20      1M
chimerautils-dbg-15.0.2-r0.apk                     09-Dec-2025 02:20      3M
chocolate-doom-3.1.1-r0.apk                        19-Aug-2025 10:30      1M
chocolate-doom-doc-3.1.1-r0.apk                    19-Aug-2025 10:30    233K
cilium-cli-0.16.13-r10.apk                         04-Dec-2025 22:54     54M
cilium-cli-bash-completion-0.16.13-r10.apk         04-Dec-2025 22:54    5189
cilium-cli-fish-completion-0.16.13-r10.apk         04-Dec-2025 22:54    4426
cilium-cli-zsh-completion-0.16.13-r10.apk          04-Dec-2025 22:54    4145
cimg-3.4.1-r0.apk                                  26-Oct-2024 04:06    826K
circuslinux-1.0.3-r1.apk                           26-Oct-2024 04:06     19K
circuslinux-data-1.0.3-r1.apk                      26-Oct-2024 04:06      1M
circuslinux-doc-1.0.3-r1.apk                       26-Oct-2024 04:06     18K
ckb-next-0.6.2-r1.apk                              09-Sep-2025 22:17      1M
ckb-next-daemon-0.6.2-r1.apk                       09-Sep-2025 22:17     69K
ckb-next-daemon-openrc-0.6.2-r1.apk                09-Sep-2025 22:17    1880
ckb-next-dev-0.6.2-r1.apk                          09-Sep-2025 22:17    5048
clatd-1.6-r0.apk                                   26-Oct-2024 04:06     13K
clementine-1.4.1_git20250503-r0.apk                12-Jun-2025 21:11      6M
clevis-21-r0.apk                                   25-Jan-2025 16:03     49K
clevis-bash-completion-21-r0.apk                   25-Jan-2025 16:03    2087
clevis-dbg-21-r0.apk                               25-Jan-2025 16:03     62K
clevis-doc-21-r0.apk                               25-Jan-2025 16:03     23K
clevis-extra-pins-0_git20230629-r0.apk             26-Oct-2024 04:06    4747
click-0.5.2-r4.apk                                 22-Feb-2025 21:17    149K
click-dev-0.5.2-r4.apk                             22-Feb-2025 21:17    9344
click-doc-0.5.2-r4.apk                             22-Feb-2025 21:17    3389
click-pyc-0.5.2-r4.apk                             22-Feb-2025 21:17    175K
clinfo-3.0.23.01.25-r0.apk                         26-Oct-2024 04:06     42K
clinfo-doc-3.0.23.01.25-r0.apk                     26-Oct-2024 04:06    6629
cliphist-0.7.0-r1.apk                              04-Dec-2025 22:54    998K
cliphist-fzf-0.7.0-r1.apk                          04-Dec-2025 22:54    1837
clipit-1.4.5-r3.apk                                26-Oct-2024 04:06     63K
clipit-doc-1.4.5-r3.apk                            26-Oct-2024 04:06    2442
cliquer-1.23-r0.apk                                12-Aug-2025 13:15    6933
cliquer-dev-1.23-r0.apk                            12-Aug-2025 13:15    7684
cliquer-libs-1.23-r0.apk                           12-Aug-2025 13:15     21K
cliquer-static-1.23-r0.apk                         12-Aug-2025 13:15     23K
cliquer-tests-1.23-r0.apk                          12-Aug-2025 13:15     24K
cln-1.3.7-r1.apk                                   25-May-2025 16:49    418K
cln-dev-1.3.7-r1.apk                               25-May-2025 16:49      1M
cln-doc-1.3.7-r1.apk                               25-May-2025 16:49     77K
cloudflared-2024.12.1-r11.apk                      04-Dec-2025 22:54      9M
cloudflared-doc-2024.12.1-r11.apk                  04-Dec-2025 22:54    1957
cloudflared-openrc-2024.12.1-r11.apk               04-Dec-2025 22:54    1837
cluster-glue-1.0.12-r5.apk                         26-Oct-2024 04:06    242K
cluster-glue-dev-1.0.12-r5.apk                     26-Oct-2024 04:06   1014K
cluster-glue-doc-1.0.12-r5.apk                     26-Oct-2024 04:06     33K
cluster-glue-libs-1.0.12-r5.apk                    26-Oct-2024 04:06    103K
cmusfm-0.5.0-r1.apk                                27-Aug-2025 13:13     13K
cobang-1.8.1-r0.apk                                23-Oct-2025 14:12     41K
cobang-lang-1.8.1-r0.apk                           23-Oct-2025 14:12     10K
coccinelle-1.1.1-r2.apk                            26-Oct-2024 04:06      7M
coccinelle-bash-completion-1.1.1-r2.apk            26-Oct-2024 04:06    2922
coccinelle-doc-1.1.1-r2.apk                        26-Oct-2024 04:06     16K
cocogitto-6.5.0-r0.apk                             03-Nov-2025 03:21      2M
cocogitto-bash-completion-6.5.0-r0.apk             03-Nov-2025 03:21    3244
cocogitto-doc-6.5.0-r0.apk                         03-Nov-2025 03:21     40K
cocogitto-fish-completion-6.5.0-r0.apk             03-Nov-2025 03:21    3842
cocogitto-zsh-completion-6.5.0-r0.apk              03-Nov-2025 03:21    3246
code-minimap-0.6.7-r0.apk                          13-Dec-2024 04:34    316K
code-minimap-doc-0.6.7-r0.apk                      13-Dec-2024 04:34    8182
codec2-1.2.0-r1.apk                                23-Nov-2025 03:51    655K
codec2-dev-1.2.0-r1.apk                            23-Nov-2025 03:51     15K
colormake-0.9.20170221-r0.apk                      26-Oct-2024 04:06    4130
colormake-doc-0.9.20170221-r0.apk                  26-Oct-2024 04:06    2729
colorpicker-0_git20201128-r1.apk                   26-Oct-2024 04:06    4116
comet-0.3.1-r0.apk                                 30-Nov-2025 04:49      2M
comics-downloader-0.33.8-r15.apk                   04-Dec-2025 22:54      4M
comics-downloader-gui-0.33.8-r15.apk               04-Dec-2025 22:54      5M
commit-lsp-0.1.0-r0.apk                            11-May-2025 20:57      2M
commoncpp-7.0.1-r1.apk                             26-Oct-2024 04:06    231K
commoncpp-dev-7.0.1-r1.apk                         26-Oct-2024 04:06    173K
commoncpp-doc-7.0.1-r1.apk                         26-Oct-2024 04:06     15K
commoncpp-tools-7.0.1-r1.apk                       26-Oct-2024 04:06     35K
compiz-0.9.14.2-r13.apk                            29-Nov-2025 09:00      5M
compiz-dev-0.9.14.2-r13.apk                        29-Nov-2025 09:00    117K
compiz-lang-0.9.14.2-r13.apk                       29-Nov-2025 09:00      1M
compiz-pyc-0.9.14.2-r13.apk                        29-Nov-2025 09:00    111K
compiz-utils-0.9.14.2-r13.apk                      29-Nov-2025 09:00    3416
comrak-0.49.0-r0.apk                               15-Dec-2025 04:46    967K
comrak-doc-0.49.0-r0.apk                           15-Dec-2025 04:46     11K
conntracct-0.2.7-r36.apk                           04-Dec-2025 22:54      5M
conntracct-openrc-0.2.7-r36.apk                    04-Dec-2025 22:54    1962
conserver-8.2.7-r0.apk                             19-Dec-2025 02:47    120K
conserver-doc-8.2.7-r0.apk                         19-Dec-2025 02:47     28K
conserver-openrc-8.2.7-r0.apk                      19-Dec-2025 02:47    1709
console_bridge-1.0.2-r0.apk                        26-Oct-2024 04:06    9263
console_bridge-dev-1.0.2-r0.apk                    26-Oct-2024 04:06    4840
consul-replicate-0.4.0-r36.apk                     04-Dec-2025 22:54      3M
contractor-0.3.5-r0.apk                            13-Nov-2024 06:54     23K
convert2json-2.4.1-r0.apk                          16-Dec-2025 01:19    1355
convert2json-bson-2.4.1-r0.apk                     16-Dec-2025 01:19    1316
convert2json-bson-jaq-2.4.1-r0.apk                 16-Dec-2025 01:19    226K
convert2json-bson-json-2.4.1-r0.apk                16-Dec-2025 01:19    220K
convert2json-cbor-2.4.1-r0.apk                     16-Dec-2025 01:19    1318
convert2json-cbor-jaq-2.4.1-r0.apk                 16-Dec-2025 01:19    195K
convert2json-cbor-json-2.4.1-r0.apk                16-Dec-2025 01:19    189K
convert2json-csv-2.4.1-r0.apk                      16-Dec-2025 01:19    1316
convert2json-csv-jaq-2.4.1-r0.apk                  16-Dec-2025 01:19    213K
convert2json-csv-json-2.4.1-r0.apk                 16-Dec-2025 01:19    206K
convert2json-doc-2.4.1-r0.apk                      16-Dec-2025 01:19     13K
convert2json-ini-2.4.1-r0.apk                      16-Dec-2025 01:19    1314
convert2json-ini-jaq-2.4.1-r0.apk                  16-Dec-2025 01:19    177K
convert2json-ini-json-2.4.1-r0.apk                 16-Dec-2025 01:19    171K
convert2json-jaq-2.4.1-r0.apk                      16-Dec-2025 01:19    1396
convert2json-json-2.4.1-r0.apk                     16-Dec-2025 01:19    1377
convert2json-messagepack-2.4.1-r0.apk              16-Dec-2025 01:19    1322
convert2json-messagepack-jaq-2.4.1-r0.apk          16-Dec-2025 01:19    192K
convert2json-messagepack-json-2.4.1-r0.apk         16-Dec-2025 01:19    186K
convert2json-plist-2.4.1-r0.apk                    16-Dec-2025 01:19    1315
convert2json-plist-jaq-2.4.1-r0.apk                16-Dec-2025 01:19    230K
convert2json-plist-json-2.4.1-r0.apk               16-Dec-2025 01:19    224K
convert2json-rsv-2.4.1-r0.apk                      16-Dec-2025 01:19    1314
convert2json-rsv-jaq-2.4.1-r0.apk                  16-Dec-2025 01:19    158K
convert2json-rsv-json-2.4.1-r0.apk                 16-Dec-2025 01:19    150K
convert2json-toml-2.4.1-r0.apk                     16-Dec-2025 01:19    1318
convert2json-toml-jaq-2.4.1-r0.apk                 16-Dec-2025 01:19    229K
convert2json-toml-json-2.4.1-r0.apk                16-Dec-2025 01:19    222K
convert2json-xml-2.4.1-r0.apk                      16-Dec-2025 01:19    1317
convert2json-xml-jaq-2.4.1-r0.apk                  16-Dec-2025 01:19    189K
convert2json-xml-json-2.4.1-r0.apk                 16-Dec-2025 01:19    183K
convert2json-yaml-2.4.1-r0.apk                     16-Dec-2025 01:19    1320
convert2json-yaml-jaq-2.4.1-r0.apk                 16-Dec-2025 01:19    254K
convert2json-yaml-json-2.4.1-r0.apk                16-Dec-2025 01:19    248K
copyq-13.0.0-r1.apk                                04-Dec-2025 22:54      2M
copyq-bash-completion-13.0.0-r1.apk                04-Dec-2025 22:54    2325
copyq-doc-13.0.0-r1.apk                            04-Dec-2025 22:54    3579
corosync-3.1.9-r0.apk                              29-May-2025 14:44    236K
corosync-dev-3.1.9-r0.apk                          29-May-2025 14:44    426K
corosync-doc-3.1.9-r0.apk                          29-May-2025 14:44    190K
corosync-openrc-3.1.9-r0.apk                       29-May-2025 14:44    1822
cortex-tenant-1.15.7-r2.apk                        04-Dec-2025 22:54      4M
cortex-tenant-openrc-1.15.7-r2.apk                 04-Dec-2025 22:54    2091
cowsay-3.04-r2.apk                                 26-Oct-2024 04:06     18K
cowsay-doc-3.04-r2.apk                             26-Oct-2024 04:06    4094
cpdf-2.8.1-r0.apk                                  11-May-2025 20:57      2M
cpdf-doc-2.8.1-r0.apk                              11-May-2025 20:57    558K
cpiped-0.1.0-r0.apk                                26-Oct-2024 04:06    6642
cpp-httplib-0.28.0-r0.apk                          26-Nov-2025 22:44     85K
cpp-httplib-doc-0.28.0-r0.apk                      26-Nov-2025 22:44     13K
cpplint-2.0.2-r0.apk                               14-Apr-2025 09:06     80K
cpplint-pyc-2.0.2-r0.apk                           14-Apr-2025 09:06     99K
cpuburn-1.4a_git20160316-r2.apk                    26-Oct-2024 04:06     10K
cpufetch-1.07-r0.apk                               01-Nov-2025 19:01     45K
cpufetch-doc-1.07-r0.apk                           01-Nov-2025 19:01    3261
crazydiskinfo-1.1.0-r1.apk                         26-Oct-2024 04:06     29K
createrepo_c-1.1.4-r0.apk                          26-Oct-2024 04:06     44K
createrepo_c-bash-completion-1.1.4-r0.apk          26-Oct-2024 04:06    2950
createrepo_c-dev-1.1.4-r0.apk                      26-Oct-2024 04:06     31K
createrepo_c-doc-1.1.4-r0.apk                      26-Oct-2024 04:06    8862
createrepo_c-libs-1.1.4-r0.apk                     26-Oct-2024 04:06     83K
crispy-doom-7.1-r0.apk                             24-Sep-2025 11:49      2M
crispy-doom-doc-7.1-r0.apk                         24-Sep-2025 11:49    107K
crossplane-0.5.8-r3.apk                            26-Oct-2024 04:06     30K
crossplane-pyc-0.5.8-r3.apk                        26-Oct-2024 04:06     39K
crow-translate-4.0.2-r0.apk                        22-Sep-2025 00:29     11M
crow-translate-lang-4.0.2-r0.apk                   22-Sep-2025 00:29    554K
crowdsec-1.7.4-r0.apk                              05-Dec-2025 10:55     39M
crowdsec-email-plugin-1.7.4-r0.apk                 05-Dec-2025 10:55      6M
crowdsec-file-plugin-1.7.4-r0.apk                  05-Dec-2025 10:55      6M
crowdsec-http-plugin-1.7.4-r0.apk                  05-Dec-2025 10:55      6M
crowdsec-openrc-1.7.4-r0.apk                       05-Dec-2025 10:55    1839
crowdsec-sentinel-plugin-1.7.4-r0.apk              05-Dec-2025 10:55      6M
crowdsec-slack-plugin-1.7.4-r0.apk                 05-Dec-2025 10:55      6M
crowdsec-splunk-plugin-1.7.4-r0.apk                05-Dec-2025 10:55      6M
crun-vm-0.3.0-r0.apk                               12-Nov-2024 20:34   1006K
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 20:34     13K
cscope-15.9-r1.apk                                 26-Oct-2024 04:06    148K
cscope-doc-15.9-r1.apk                             26-Oct-2024 04:06    7670
csfml-2.5.2-r0.apk                                 26-Oct-2024 04:06     86K
csfml-dev-2.5.2-r0.apk                             26-Oct-2024 04:06     77K
csfml-doc-2.5.2-r0.apk                             26-Oct-2024 04:06    204K
csmith-2.3.0-r2.apk                                26-Oct-2024 04:06    273K
csmith-doc-2.3.0-r2.apk                            26-Oct-2024 04:06    3144
csol-1.6.0-r0.apk                                  26-Oct-2024 04:06     33K
csol-doc-1.6.0-r0.apk                              26-Oct-2024 04:06    3941
ctorrent-dnh-3.3.2-r2.apk                          26-Oct-2024 04:06     81K
cups-pdf-3.0.2-r0.apk                              05-Jul-2025 06:11     21K
curlftpfs-0.9.2-r3.apk                             26-Oct-2024 04:06     23K
curlftpfs-doc-0.9.2-r3.apk                         26-Oct-2024 04:06    6264
curtail-1.13.0-r0.apk                              06-Jul-2025 05:39     30K
curtail-lang-1.13.0-r0.apk                         06-Jul-2025 05:39     78K
cutechess-1.3.1-r0.apk                             26-Oct-2024 04:06      1M
cutechess-cli-1.3.1-r0.apk                         26-Oct-2024 04:06    305K
cutechess-cli-doc-1.3.1-r0.apk                     26-Oct-2024 04:06    6713
cutechess-doc-1.3.1-r0.apk                         26-Oct-2024 04:06    3627
cvise-2.11.0-r0.apk                                11-Mar-2025 05:53      5M
cvise-pyc-2.11.0-r0.apk                            11-Mar-2025 05:53     60K
cvs-fast-export-1.65-r0.apk                        26-Oct-2024 04:06     43K
cvs-fast-export-doc-1.65-r0.apk                    26-Oct-2024 04:06     17K
cvs-fast-export-tools-1.65-r0.apk                  26-Oct-2024 04:06    8847
cyrus-sasl-xoauth2-0.2-r1.apk                      26-Oct-2024 04:06    6005
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  26-Oct-2024 04:06    2332
cyrus-sasl-xoauth2-static-0.2-r1.apk               26-Oct-2024 04:06    6395
cz-viator-hourglass-black-20210706-r0.apk          26-Oct-2024 04:06    219K
daemontools-0.76-r3.apk                            26-Oct-2024 04:06     48K
daemontools-openrc-0.76-r3.apk                     26-Oct-2024 04:06    2006
daktilo-0.6.0-r0.apk                               26-Oct-2024 04:06      2M
daktilo-bash-completion-0.6.0-r0.apk               26-Oct-2024 04:06    2217
daktilo-doc-0.6.0-r0.apk                           26-Oct-2024 04:06    8873
daktilo-fish-completion-0.6.0-r0.apk               26-Oct-2024 04:06    1983
daktilo-zsh-completion-0.6.0-r0.apk                26-Oct-2024 04:06    2322
dam-0_git20250728-r0.apk                           23-Dec-2025 06:22     13K
dam-doc-0_git20250728-r0.apk                       23-Dec-2025 06:22    2346
darkreader-4.9.110-r0.apk                          21-Aug-2025 17:58    767K
dart-3.10.3-r0.apk                                 05-Dec-2025 09:36     41M
dart-sdk-3.10.3-r0.apk                             05-Dec-2025 09:36    136M
dart-stage0-3.10.0_alpha244_p0-r0.apk              18-Nov-2025 00:33    129M
dartaotruntime-3.10.3-r0.apk                       05-Dec-2025 09:36      1M
darts-clone-0.32h-r0.apk                           06-Jul-2025 16:09     26K
darts-clone-dev-0.32h-r0.apk                       06-Jul-2025 16:09     13K
dasht-2.4.0-r0.apk                                 26-Oct-2024 04:06     14K
dasht-doc-2.4.0-r0.apk                             26-Oct-2024 04:06     11K
dasht-zsh-completion-2.4.0-r0.apk                  26-Oct-2024 04:06    2110
davmail-6.5.1-r0.apk                               18-Nov-2025 00:33      8M
dbmate-2.28.0-r1.apk                               04-Dec-2025 22:54     11M
dbmate-doc-2.28.0-r1.apk                           04-Dec-2025 22:54    2316
dbus-broker-37-r0.apk                              20-Jun-2025 13:10     76K
dbus-broker-doc-37-r0.apk                          20-Jun-2025 13:10    6016
dcmtk-3.6.9-r0.apk                                 25-Jan-2025 16:03      1M
dcmtk-dev-3.6.9-r0.apk                             25-Jan-2025 16:03      2M
dcmtk-doc-3.6.9-r0.apk                             25-Jan-2025 16:03    257K
dcmtk-openrc-3.6.9-r0.apk                          25-Jan-2025 16:03    1728
dcnnt-0.10.0-r1.apk                                26-Oct-2024 04:06     28K
dcnnt-doc-0.10.0-r1.apk                            26-Oct-2024 04:06    6748
dcnnt-pyc-0.10.0-r1.apk                            26-Oct-2024 04:06     62K
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 20:43     19K
ddgr-2.2-r0.apk                                    26-Oct-2024 04:06     20K
ddgr-bash-completion-2.2-r0.apk                    26-Oct-2024 04:06    2254
ddgr-doc-2.2-r0.apk                                26-Oct-2024 04:06     12K
ddgr-fish-completion-2.2-r0.apk                    26-Oct-2024 04:06    2332
ddgr-zsh-completion-2.2-r0.apk                     26-Oct-2024 04:06    2737
ddserver-0_git20200930-r1.apk                      26-Oct-2024 04:06     11K
deadbeef-soxr-20180801-r0.apk                      26-Oct-2024 04:06    5336
debconf-1.5.82-r0.apk                              26-Oct-2024 04:06     69K
debconf-bash-completion-1.5.82-r0.apk              26-Oct-2024 04:06    1897
debconf-doc-1.5.82-r0.apk                          26-Oct-2024 04:06     27K
debconf-lang-1.5.82-r0.apk                         26-Oct-2024 04:06    132K
debconf-utils-1.5.82-r0.apk                        26-Oct-2024 04:06    6823
decoder-0.7.0-r0.apk                               12-Apr-2025 03:19      2M
decoder-lang-0.7.0-r0.apk                          12-Apr-2025 03:19     59K
dehydrated-0.7.1-r0.apk                            26-Oct-2024 04:06     26K
desed-1.2.1-r1.apk                                 26-Oct-2024 04:06    342K
desed-doc-1.2.1-r1.apk                             26-Oct-2024 04:06    2949
desync-0.9.6-r10.apk                               04-Dec-2025 22:54      7M
detox-2.0.0-r0.apk                                 26-Oct-2024 04:06    109K
detox-doc-2.0.0-r0.apk                             26-Oct-2024 04:06     21K
deviced-0_git20250427-r0.apk                       06-Jul-2025 05:03    108K
deviced-dev-0_git20250427-r0.apk                   06-Jul-2025 05:03     26K
deviced-openrc-0_git20250427-r0.apk                06-Jul-2025 05:03    1743
devil-1.8.0-r0.apk                                 26-Oct-2024 04:06    206K
devil-dev-1.8.0-r0.apk                             26-Oct-2024 04:06     13K
dewduct-0.2.3-r0.apk                               26-Oct-2024 04:06      1M
dfl-applications-0.3.0-r0.apk                      21-Aug-2025 16:56     59K
dfl-applications-dev-0.3.0-r0.apk                  21-Aug-2025 16:56    4019
dfl-ipc-0.3.0-r0.apk                               21-Aug-2025 16:56     43K
dfl-ipc-dev-0.3.0-r0.apk                           21-Aug-2025 16:56    4905
dfl-login1-0.3.0-r0.apk                            21-Aug-2025 16:56     31K
dfl-login1-dev-0.3.0-r0.apk                        21-Aug-2025 16:56    3786
dfl-sni-0.3.0-r0.apk                               21-Aug-2025 16:56     54K
dfl-sni-dev-0.3.0-r0.apk                           21-Aug-2025 16:56    5073
dfu-programmer-1.1.0-r0.apk                        26-Oct-2024 04:06     34K
dfu-programmer-bash-completion-1.1.0-r0.apk        26-Oct-2024 04:06    2821
dfu-programmer-doc-1.1.0-r0.apk                    26-Oct-2024 04:06    5871
dhewm3-1.5.4-r0.apk                                22-Feb-2025 21:17      5M
diceware-1.0.1-r0.apk                              14-Jan-2025 07:49    334K
diceware-pyc-1.0.1-r0.apk                          14-Jan-2025 07:49     18K
disfetch-3.7-r0.apk                                26-Oct-2024 04:06    8491
diskonaut-0.11.0-r3.apk                            26-Oct-2024 04:06    389K
diskus-0.8.0-r0.apk                                19-May-2025 07:20    310K
dislocker-0.7.3-r6.apk                             24-Jul-2025 22:42     12K
dislocker-doc-0.7.3-r6.apk                         24-Jul-2025 22:42    6167
dislocker-libs-0.7.3-r6.apk                        24-Jul-2025 22:42     40K
dive-0.13.0-r7.apk                                 04-Dec-2025 22:54      4M
dlib-19.24.4-r0.apk                                26-Oct-2024 04:06    706K
dlib-dev-19.24.4-r0.apk                            26-Oct-2024 04:06      2M
dmarc-cat-0.15.0-r10.apk                           04-Dec-2025 22:54      3M
dmarc-metrics-exporter-1.2.0-r0.apk                30-Nov-2024 07:03     25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         30-Nov-2024 07:03    1894
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            30-Nov-2024 07:03     46K
dmenu-wl-0.1-r0.apk                                02-Jul-2025 19:32     15K
dmenu-wl-doc-0.1-r0.apk                            02-Jul-2025 19:32    4181
dnscontrol-4.29.0-r0.apk                           19-Dec-2025 06:13     16M
dnscontrol-doc-4.29.0-r0.apk                       19-Dec-2025 06:13    2343
dnscrypt-wrapper-0.4.2-r3.apk                      26-Oct-2024 04:06     26K
dnsenum-1.3.2-r0.apk                               26-Oct-2024 04:06     21K
dnsenum-doc-1.3.2-r0.apk                           26-Oct-2024 04:06    5364
dnsperf-2.14.0-r0.apk                              26-Oct-2024 04:06     72K
dnsperf-doc-2.14.0-r0.apk                          26-Oct-2024 04:06     35K
dnssec-tools-2.2.3-r13.apk                         02-Jul-2025 03:10    728K
dnssec-tools-dev-2.2.3-r13.apk                     02-Jul-2025 03:10    167K
dnssec-tools-doc-2.2.3-r13.apk                     02-Jul-2025 03:10    317K
doasedit-1.0.9-r0.apk                              31-Oct-2025 20:57    3542
docker-volume-local-persist-1.3.0-r38.apk          04-Dec-2025 22:54      3M
docker-volume-local-persist-openrc-1.3.0-r38.apk   04-Dec-2025 22:54    1828
dockerize-0.9.6-r2.apk                             04-Dec-2025 22:54      3M
dooit-3.3.3-r0.apk                                 27-Oct-2025 17:30     46K
dooit-extras-0.2.0-r0.apk                          08-Dec-2024 05:22     13K
dooit-extras-pyc-0.2.0-r0.apk                      08-Dec-2024 05:22     23K
dooit-pyc-3.3.3-r0.apk                             27-Oct-2025 17:30    103K
dotenv-linter-4.0.0-r0.apk                         23-Nov-2025 01:20      1M
downloader-cli-0.3.4-r2.apk                        15-May-2025 03:17    2022
draco-1.5.7-r2.apk                                 22-Feb-2025 21:17    719K
draco-dev-1.5.7-r2.apk                             22-Feb-2025 21:17    205K
draco-static-1.5.7-r2.apk                          22-Feb-2025 21:17      2M
draco-tools-1.5.7-r2.apk                           22-Feb-2025 21:17      1M
draw-0.1.1-r18.apk                                 04-Dec-2025 22:54   1007K
drogon-1.9.4-r2.apk                                22-May-2025 15:51      1M
drogon-dev-1.9.4-r2.apk                            22-May-2025 15:51    121K
drogon-doc-1.9.4-r2.apk                            22-May-2025 15:51    2326
droidcam-2.1.3-r3.apk                              27-Sep-2025 22:40     17K
droidcam-gui-2.1.3-r3.apk                          27-Sep-2025 22:40     26K
drone-cli-1.8.0-r15.apk                            04-Dec-2025 22:54      6M
dropwatch-1.5.5-r2.apk                             04-Dec-2025 22:54     14K
dropwatch-doc-1.5.5-r2.apk                         04-Dec-2025 22:54    3791
drumgizmo-0.9.20-r1.apk                            26-Oct-2024 04:07    359K
drupal7-7.103-r0.apk                               05-Dec-2024 02:28      3M
drupal7-doc-7.103-r0.apk                           05-Dec-2024 02:28     57K
dsp-2.0-r2.apk                                     27-Sep-2025 22:40    140K
dsp-doc-2.0-r2.apk                                 27-Sep-2025 22:40     10K
dstask-0.27-r4.apk                                 04-Dec-2025 22:54      2M
dstask-bash-completion-0.27-r4.apk                 04-Dec-2025 22:54    2241
dstask-fish-completion-0.27-r4.apk                 04-Dec-2025 22:54    1715
dstask-import-0.27-r4.apk                          04-Dec-2025 22:54      3M
dstask-zsh-completion-0.27-r4.apk                  04-Dec-2025 22:54    1701
dublin-traceroute-0.4.2-r4.apk                     26-Oct-2024 04:07     42K
dublin-traceroute-contrib-0.4.2-r4.apk             26-Oct-2024 04:07    2931
dublin-traceroute-dev-0.4.2-r4.apk                 26-Oct-2024 04:07    7059
dublin-traceroute-doc-0.4.2-r4.apk                 26-Oct-2024 04:07    2358
duc-1.4.5-r0.apk                                   26-Oct-2024 04:07     83K
duc-doc-1.4.5-r0.apk                               26-Oct-2024 04:07    9280
duf-0.9.1-r2.apk                                   04-Dec-2025 22:54      1M
duf-doc-0.9.1-r2.apk                               04-Dec-2025 22:54    4834
dulcepan-1.0.2-r0.apk                              26-Oct-2024 04:07     19K
dum-0.1.20-r1.apk                                  30-Mar-2025 03:40    309K
dune-deps-1.3.0-r2.apk                             26-Oct-2024 04:07    787K
dustracing2d-2.1.1-r1.apk                          26-Oct-2024 04:07      5M
dvdbackup-0.4.2-r1.apk                             26-Oct-2024 04:07     16K
dvdbackup-doc-0.4.2-r1.apk                         26-Oct-2024 04:07    7761
dvdbackup-lang-0.4.2-r1.apk                        26-Oct-2024 04:07    1462
dvisvgm-3.4.4-r0.apk                               19-May-2025 07:44      1M
dvisvgm-doc-3.4.4-r0.apk                           19-May-2025 07:44     26K
dwl-0.7-r0.apk                                     26-Oct-2024 04:07     24K
dwl-doc-0.7-r0.apk                                 26-Oct-2024 04:07    3199
e16-1.0.30-r0.apk                                  05-Nov-2024 22:22    740K
e16-doc-1.0.30-r0.apk                              05-Nov-2024 22:22     27K
e16-lang-1.0.30-r0.apk                             05-Nov-2024 22:22    380K
eatmemory-0.1.6-r2.apk                             26-Oct-2024 04:07    4180
ecasound-2.9.3-r4.apk                              25-Feb-2025 07:28    616K
ecasound-dev-2.9.3-r4.apk                          25-Feb-2025 07:28      1M
ecasound-doc-2.9.3-r4.apk                          25-Feb-2025 07:28     38K
ecos-2.0.10-r0.apk                                 26-Oct-2024 04:07     35K
ecos-dev-2.0.10-r0.apk                             26-Oct-2024 04:07     28K
edit-1.2.1-r0.apk                                  16-Oct-2025 22:17    222K
edit-doc-1.2.1-r0.apk                              16-Oct-2025 22:17    2302
edward-1.1.0-r0.apk                                26-Oct-2024 04:07      2M
edward-doc-1.1.0-r0.apk                            26-Oct-2024 04:07    5400
efl-1.28.1-r2.apk                                  24-Mar-2025 00:03     33M
efl-dev-1.28.1-r2.apk                              24-Mar-2025 00:03      2M
efl-gdb-1.28.1-r2.apk                              24-Mar-2025 00:03    1730
eiwd-3.10-r0.apk                                   08-Oct-2025 19:22    716K
eiwd-doc-3.10-r0.apk                               08-Oct-2025 19:22     21K
eiwd-openrc-3.10-r0.apk                            08-Oct-2025 19:22    1926
elementary-calculator-8.0.1-r0.apk                 02-Sep-2025 11:29     68K
elementary-calculator-lang-8.0.1-r0.apk            02-Sep-2025 11:29     59K
elementary-camera-8.0.2-r0.apk                     02-Sep-2025 11:25     84K
elementary-camera-lang-8.0.2-r0.apk                02-Sep-2025 11:25     35K
elementary-dock-8.0.2-r0.apk                       25-May-2025 07:57     80K
elementary-dock-lang-8.0.2-r0.apk                  25-May-2025 07:57     28K
elementary-feedback-8.1.0-r0.apk                   23-Nov-2025 01:20     45K
elementary-feedback-lang-8.1.0-r0.apk              23-Nov-2025 01:20     48K
elementary-icon-theme-8.1.0-r0.apk                 13-May-2025 15:37      5M
elementary-music-8.0.0-r0.apk                      29-Oct-2024 07:05     70K
elementary-music-lang-8.0.0-r0.apk                 29-Oct-2024 07:05     47K
elementary-photos-8.0.1-r0.apk                     03-Dec-2024 07:59      1M
elementary-photos-lang-8.0.1-r0.apk                03-Dec-2024 07:59      1M
elementary-settings-daemon-8.3.0-r0.apk            26-May-2025 18:05     73K
elementary-settings-daemon-lang-8.3.0-r0.apk       26-May-2025 18:05     74K
elementary-settings-daemon-openrc-8.3.0-r0.apk     26-May-2025 18:05    1841
elementary-sound-theme-1.1.0-r0.apk                11-Nov-2024 07:07     83K
elementary-theme-8.1.0-r0.apk                      13-Jan-2025 05:34      1M
elementary-videos-8.0.2-r0.apk                     02-Sep-2025 11:28    106K
elementary-videos-lang-8.0.2-r0.apk                02-Sep-2025 11:28     83K
elf_diff-0.7.1-r3.apk                              26-Oct-2024 04:07    108K
elf_diff-pyc-0.7.1-r3.apk                          26-Oct-2024 04:07    108K
eludris-0.3.3-r1.apk                               26-Oct-2024 04:07      2M
eludris-doc-0.3.3-r1.apk                           26-Oct-2024 04:07    2342
emacs-ace-window-0.10.0_git20220911-r0.apk         26-Oct-2024 04:07     23K
emacs-avy-0.5.0_git20230420-r0.apk                 26-Oct-2024 04:07     43K
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 14:42    3916
emacs-centaur-tabs-3.2_git20230601-r0.apk          26-Oct-2024 04:07     55K
emacs-closql-1.2.1_git20240712-r0.apk              26-Oct-2024 04:07     14K
emacs-company-1.0.2-r0.apk                         12-Dec-2025 19:06    159K
emacs-company-wubi-0_git20161031-r0.apk            12-Dec-2025 19:06      1M
emacs-consult-1.4_git20240405-r0.apk               26-Oct-2024 04:07    138K
emacs-derl-0_git20231004-r1.apk                    29-Jul-2025 13:35     23K
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 14:11     91K
emacs-emacsql-3.1.1_git20240714-r0.apk             26-Oct-2024 04:07     23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       26-Oct-2024 04:07    6206
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        26-Oct-2024 04:07    5992
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      26-Oct-2024 04:07     17K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 26-Oct-2024 04:07    4392
emacs-embark-1.1-r0.apk                            23-Apr-2025 14:42    111K
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 14:42     10K
emacs-ement-0.16-r0.apk                            23-Apr-2025 14:11    291K
emacs-epkg-3.3.3_git20240713-r0.apk                26-Oct-2024 04:07     37K
emacs-fossil-0_git20230504-r0.apk                  26-Oct-2024 04:07     15K
emacs-gnosis-0.3.2-r0.apk                          26-Oct-2024 04:07     62K
emacs-hackernews-0.7.0-r0.apk                      26-Oct-2024 04:07     16K
emacs-helm-3.9.7_git20240329-r0.apk                26-Oct-2024 04:07    815K
emacs-hnreader-0_git20221116-r0.apk                26-Oct-2024 04:07    9986
emacs-hydra-0.15.0_git20220910-r0.apk              26-Oct-2024 04:07     46K
emacs-llama-1.0.2-r0.apk                           02-Nov-2025 23:19     13K
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 21:22    367K
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 21:22    2324
emacs-persist-0.6_git20240114-r0.apk               26-Oct-2024 04:07    6767
emacs-powerline-2.4_git20221110-r0.apk             26-Oct-2024 04:07     29K
emacs-sqlite3-api-0.18-r0.apk                      26-Oct-2024 04:07     17K
emacs-svg-lib-0_git20240219-r0.apk                 26-Oct-2024 04:07     19K
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 14:42     11K
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 14:42     18K
emacs-total-recall-0_git20250426-r0.apk            11-May-2025 20:57     18K
emacs-total-recall-examples-0_git20250426-r0.apk   11-May-2025 20:57     14K
emmylua-check-0.17.0-r0.apk                        22-Dec-2025 09:14      2M
emmylua-doc-cli-0.17.0-r0.apk                      22-Dec-2025 09:14      2M
emmylua-ls-0.17.0-r0.apk                           22-Dec-2025 09:14      2M
emmylua-ls-doc-0.17.0-r0.apk                       22-Dec-2025 09:14     37K
empede-0.2.3-r0.apk                                26-Oct-2024 04:07      2M
empede-doc-0.2.3-r0.apk                            26-Oct-2024 04:07    2320
empede-openrc-0.2.3-r0.apk                         26-Oct-2024 04:07    1942
emulationstation-2.11.2-r1.apk                     26-Oct-2024 04:07      1M
emulationstation-theme-gbz35-2.11.2-r1.apk         26-Oct-2024 04:07      3M
endeavour-43.0-r2.apk                              09-Dec-2024 06:36    170K
endeavour-dev-43.0-r2.apk                          09-Dec-2024 06:36     46K
endeavour-doc-43.0-r2.apk                          09-Dec-2024 06:36     68K
endeavour-lang-43.0-r2.apk                         09-Dec-2024 06:36    203K
endlessh-1.1-r1.apk                                25-May-2025 16:49    8973
endlessh-doc-1.1-r1.apk                            25-May-2025 16:49    2724
enjoy-0.3-r1.apk                                   26-Oct-2024 04:07     10K
enlighten-0.9.2-r1.apk                             26-Oct-2024 04:07    7186
enlighten-doc-0.9.2-r1.apk                         26-Oct-2024 04:07    3595
envconsul-0.13.4-r1.apk                            04-Dec-2025 22:54      5M
envsubst-0.1-r1.apk                                26-Oct-2024 04:07    4098
epic6-0_git20250821-r0.apk                         09-Sep-2025 22:17    338K
epic6-doc-0_git20250821-r0.apk                     09-Sep-2025 22:17     17K
epic6-script-0_git20250821-r0.apk                  09-Sep-2025 22:17    149K
epoch-1.3.0-r2.apk                                 26-Oct-2024 04:07     59K
epr-2.4.15-r1.apk                                  26-Oct-2024 04:07     16K
epr-pyc-2.4.15-r1.apk                              26-Oct-2024 04:07     24K
ergo-ldap-0.0.1-r22.apk                            04-Dec-2025 22:54      2M
ergo-ldap-doc-0.0.1-r22.apk                        04-Dec-2025 22:54    2343
errands-46.2.9-r0.apk                              23-Oct-2025 17:13     84K
errands-lang-46.2.9-r0.apk                         23-Oct-2025 17:13     73K
espeakup-0.90-r2.apk                               26-Oct-2024 04:07     10K
espeakup-openrc-0.90-r2.apk                        26-Oct-2024 04:07    1833
esptool-4.8.1-r0.apk                               26-Oct-2024 04:07    424K
esptool-pyc-4.8.1-r0.apk                           26-Oct-2024 04:07    549K
ettercap-0.8.3.1-r3.apk                            26-Oct-2024 04:07    552K
ettercap-doc-0.8.3.1-r3.apk                        26-Oct-2024 04:07     45K
eva-0.3.1-r2.apk                                   26-Oct-2024 04:07    555K
evolution-etesync-1.1.1-r0.apk                     28-Sep-2025 04:40     48K
evolution-etesync-lang-1.1.1-r0.apk                28-Sep-2025 04:40     19K
evolution-on-3.24.4-r1.apk                         19-Sep-2025 23:53     10K
eww-0.6.0-r0.apk                                   10-Nov-2025 04:43      3M
exabgp-4.2.24-r1.apk                               09-Sep-2025 22:17    385K
exabgp-doc-4.2.24-r1.apk                           09-Sep-2025 22:17    8292
exabgp-openrc-4.2.24-r1.apk                        09-Sep-2025 22:17    2304
exabgp-pyc-4.2.24-r1.apk                           09-Sep-2025 22:17    778K
exercism-3.2.0-r18.apk                             04-Dec-2025 22:54      4M
exercism-bash-completion-3.2.0-r18.apk             04-Dec-2025 22:54    2013
exercism-fish-completion-3.2.0-r18.apk             04-Dec-2025 22:54    2423
exercism-zsh-completion-3.2.0-r18.apk              04-Dec-2025 22:54    2162
extrace-0.9-r0.apk                                 26-Oct-2024 04:07    9947
extrace-doc-0.9-r0.apk                             26-Oct-2024 04:07    3598
extremetuxracer-0.8.3-r0.apk                       26-Oct-2024 04:07     40M
extremetuxracer-doc-0.8.3-r0.apk                   26-Oct-2024 04:07    6838
extundelete-0.2.4-r1.apk                           26-Oct-2024 04:07     39K
fabric-3.2.2-r1.apk                                26-Oct-2024 04:07     55K
fabric-pyc-3.2.2-r1.apk                            26-Oct-2024 04:07     60K
fakeroot-tcp-1.32.1-r1.apk                         26-Oct-2024 04:07     25K
fast-double-parser-0.8.1-r0.apk                    20-Oct-2025 19:32     25K
fastd-23-r0.apk                                    28-Jan-2025 06:33     64K
fastd-doc-23-r0.apk                                28-Jan-2025 06:33    3358
fastd-openrc-23-r0.apk                             28-Jan-2025 06:33    1738
fatback-1.3-r2.apk                                 26-Oct-2024 04:07     24K
fatback-doc-1.3-r2.apk                             26-Oct-2024 04:07     16K
fathom-1.3.1-r18.apk                               04-Dec-2025 22:54      5M
fatrace-0.18.0-r0.apk                              28-Jul-2025 04:28     10K
fatrace-doc-0.18.0-r0.apk                          28-Jul-2025 04:28    3392
fatresize-1.1.0-r1.apk                             26-Oct-2024 04:07    8746
fatresize-doc-1.1.0-r1.apk                         26-Oct-2024 04:07     15K
faultstat-0.01.11-r0.apk                           26-Oct-2024 04:07     12K
faultstat-bash-completion-0.01.11-r0.apk           26-Oct-2024 04:07    2330
faultstat-doc-0.01.11-r0.apk                       26-Oct-2024 04:07    3085
faust-2.79.3-r0.apk                                08-Jun-2025 02:02      7M
faust-dev-2.79.3-r0.apk                            08-Jun-2025 02:02      1M
faust-doc-2.79.3-r0.apk                            08-Jun-2025 02:02     17M
faust-static-2.79.3-r0.apk                         08-Jun-2025 02:02    515K
faust-tools-2.79.3-r0.apk                          08-Jun-2025 02:02    120K
faust-vim-2.79.3-r0.apk                            08-Jun-2025 02:02    2667
fava-1.28-r0.apk                                   26-Oct-2024 04:07      1M
fava-pyc-1.28-r0.apk                               26-Oct-2024 04:07    164K
fbcur-1.0.1-r1.apk                                 26-Oct-2024 04:07    4260
fbcur-doc-1.0.1-r1.apk                             26-Oct-2024 04:07    2220
fbdebug-1.0.1-r0.apk                               20-Dec-2025 06:47    5267
fceux-2.6.6-r4.apk                                 27-Sep-2025 22:40      3M
fceux-doc-2.6.6-r4.apk                             27-Sep-2025 22:40    105K
fdm-materials-5.2.2-r1.apk                         26-Oct-2024 04:07     60K
featherpad-1.6.2-r0.apk                            29-Nov-2025 04:40    647K
featherpad-lang-1.6.2-r0.apk                       29-Nov-2025 04:40    485K
felix-2.16.1-r0.apk                                16-May-2025 17:33    593K
femto-2.24.1-r0.apk                                09-Sep-2025 22:17     63K
femto-doc-2.24.1-r0.apk                            09-Sep-2025 22:17     48K
fff-2.2-r0.apk                                     26-Oct-2024 04:07     11K
fff-doc-2.2-r0.apk                                 26-Oct-2024 04:07    9203
fflas-ffpack-2.5.0-r3.apk                          26-Oct-2024 04:07    345K
ffmpeg4-4.4.5-r4.apk                               19-Oct-2025 19:13     30K
ffmpeg4-dev-4.4.5-r4.apk                           19-Oct-2025 19:13    281K
ffmpeg4-libavcodec-4.4.5-r4.apk                    19-Oct-2025 19:13      6M
ffmpeg4-libavdevice-4.4.5-r4.apk                   19-Oct-2025 19:13     45K
ffmpeg4-libavfilter-4.4.5-r4.apk                   19-Oct-2025 19:13      1M
ffmpeg4-libavformat-4.4.5-r4.apk                   19-Oct-2025 19:13      1M
ffmpeg4-libavutil-4.4.5-r4.apk                     19-Oct-2025 19:13    275K
ffmpeg4-libpostproc-4.4.5-r4.apk                   19-Oct-2025 19:13     21K
ffmpeg4-libswresample-4.4.5-r4.apk                 19-Oct-2025 19:13     39K
ffmpeg4-libswscale-4.4.5-r4.apk                    19-Oct-2025 19:13    132K
ffms2-5.0-r2.apk                                   27-Sep-2025 22:40     64K
ffms2-dev-5.0-r2.apk                               27-Sep-2025 22:40    7708
ffms2-doc-5.0-r2.apk                               27-Sep-2025 22:40     30K
ffsend-0.2.76-r4.apk                               26-Oct-2024 04:07      1M
ffsend-bash-completion-0.2.76-r4.apk               26-Oct-2024 04:07    3673
ffsend-fish-completion-0.2.76-r4.apk               26-Oct-2024 04:07    3631
ffsend-zsh-completion-0.2.76-r4.apk                26-Oct-2024 04:07    4651
fheroes2-1.1.13-r0.apk                             23-Dec-2025 01:41      2M
fheroes2-lang-1.1.13-r0.apk                        23-Dec-2025 01:41      2M
fildesh-0.2.0-r0.apk                               26-Oct-2024 04:07     58K
fildesh-doc-0.2.0-r0.apk                           26-Oct-2024 04:07    2154
fildesh-vim-0.2.0-r0.apk                           26-Oct-2024 04:07    3633
filebrowser-2.27.0-r16.apk                         04-Dec-2025 22:54      7M
filebrowser-openrc-2.27.0-r16.apk                  04-Dec-2025 22:54    1864
fileshelter-6.2.0-r4.apk                           28-Sep-2025 07:22    283K
fileshelter-openrc-6.2.0-r4.apk                    28-Sep-2025 07:22    1742
filite-0.3.0-r2.apk                                26-Oct-2024 04:07      1M
findtow-0.1-r0.apk                                 26-Oct-2024 04:07    4422
finger-0.5-r0.apk                                  26-Oct-2024 04:07    6279
finger-doc-0.5-r0.apk                              26-Oct-2024 04:07    3867
firehol-3.1.7-r2.apk                               26-Oct-2024 04:07     85K
firehol-doc-3.1.7-r2.apk                           26-Oct-2024 04:07    675K
firehol-openrc-3.1.7-r2.apk                        26-Oct-2024 04:07    2102
flamelens-0.3.1-r0.apk                             11-May-2025 20:57      1M
flamelens-doc-0.3.1-r0.apk                         11-May-2025 20:57    3748
flann-1.9.2-r1.apk                                 22-Feb-2025 21:17      2M
flann-dev-1.9.2-r1.apk                             22-Feb-2025 21:17      1M
flann-doc-1.9.2-r1.apk                             22-Feb-2025 21:17    2589
flare-engine-1.14-r1.apk                           05-Nov-2025 22:58      4M
flare-engine-doc-1.14-r1.apk                       05-Nov-2025 22:58    2527
flare-game-1.14-r0.apk                             26-Oct-2024 04:07    2240
flatseal-2.3.1-r0.apk                              20-Jun-2025 13:10     43K
flatseal-doc-2.3.1-r0.apk                          20-Jun-2025 13:10    8664
flatseal-lang-2.3.1-r0.apk                         20-Jun-2025 13:10     79K
flauschige-uhr-0.1-r1.apk                          26-Oct-2024 04:07    4241
flawz-0.3.0-r0.apk                                 04-Nov-2024 06:06      1M
flawz-bash-completion-0.3.0-r0.apk                 04-Nov-2024 06:06    2166
flawz-doc-0.3.0-r0.apk                             04-Nov-2024 06:06    6130
flawz-fish-completion-0.3.0-r0.apk                 04-Nov-2024 06:06    1956
flawz-zsh-completion-0.3.0-r0.apk                  04-Nov-2024 06:06    2279
flint-3.4.0-r0.apk                                 26-Nov-2025 17:23      4M
flint-dev-3.4.0-r0.apk                             26-Nov-2025 17:23    316K
flowd-0.9.1-r11.apk                                02-Jul-2025 03:10     75K
flowd-dev-0.9.1-r11.apk                            02-Jul-2025 03:10    8283
flowd-doc-0.9.1-r11.apk                            02-Jul-2025 03:10     10K
flowd-openrc-0.9.1-r11.apk                         02-Jul-2025 03:10    1941
fnf-0.1-r0.apk                                     26-Oct-2024 04:07     14K
fnf-doc-0.1-r0.apk                                 26-Oct-2024 04:07    4682
foma-0.10.0_git20240712-r0.apk                     26-Oct-2024 04:07    284K
foma-dev-0.10.0_git20240712-r0.apk                 26-Oct-2024 04:07    8674
font-andika-six-6.210-r0.apk                       28-Sep-2025 21:06      1M
font-anonymous-pro-1.002-r2.apk                    26-Oct-2024 04:07    264K
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 21:25    357K
font-babelstone-han-15.1.3-r0.apk                  26-Oct-2024 04:07     18M
font-cascadia-2407.24-r1.apk                       27-May-2025 23:36    1296
font-cascadia-code-2407.24-r1.apk                  27-May-2025 23:36    526K
font-cascadia-mono-2407.24-r1.apk                  27-May-2025 23:36    507K
font-chivo-0_git20221110-r0.apk                    26-Oct-2024 04:07    792K
font-chivo-mono-0_git20221110-r0.apk               26-Oct-2024 04:07    626K
font-comic-neue-2.51-r0.apk                        26-Oct-2024 04:07    249K
font-comic-neue-doc-2.51-r0.apk                    26-Oct-2024 04:07   1004K
font-commit-mono-1.143-r0.apk                      26-Oct-2024 04:07    251K
font-cousine-0_git20210228-r0.apk                  26-Oct-2024 04:07    110K
font-fantasque-sans-1.8.0-r0.apk                   26-Oct-2024 04:07    1260
font-fantasque-sans-doc-1.8.0-r0.apk               26-Oct-2024 04:07    5670
font-fantasque-sans-largelineheight-1.8.0-r0.apk   26-Oct-2024 04:07    316K
font-fantasque-sans-largelineheightnoloopk-1.8...> 26-Oct-2024 04:07    316K
font-fantasque-sans-noloopk-1.8.0-r0.apk           26-Oct-2024 04:07    316K
font-fantasque-sans-normal-1.8.0-r0.apk            26-Oct-2024 04:07    316K
font-fira-code-6.2-r0.apk                          26-Oct-2024 04:07    836K
font-fira-code-vf-6.2-r0.apk                       26-Oct-2024 04:07    145K
font-firamath-0.3.4-r0.apk                         26-Oct-2024 04:07    118K
font-fontawesome-4-4.7.0-r3.apk                    26-Oct-2024 04:07    205K
font-hanazono-20170904-r2.apk                      16-Sep-2025 13:50     29M
font-intel-one-mono-1.3.0-r0.apk                   26-Oct-2024 04:07    281K
font-katex-0.16.2-r0.apk                           26-Oct-2024 04:07    852K
font-material-icons-4.0.0-r0.apk                   26-Oct-2024 04:07    652K
font-monaspace-1.101-r0.apk                        26-Oct-2024 04:07    1488
font-monaspace-argon-1.101-r0.apk                  26-Oct-2024 04:07      2M
font-monaspace-krypton-1.101-r0.apk                26-Oct-2024 04:07      2M
font-monaspace-neon-1.101-r0.apk                   26-Oct-2024 04:07      2M
font-monaspace-radon-1.101-r0.apk                  26-Oct-2024 04:07      3M
font-monaspace-xenon-1.101-r0.apk                  26-Oct-2024 04:07      2M
font-monocraft-4.0-r0.apk                          26-Oct-2024 04:07    677K
font-openmoji-16.0.0-r0.apk                        11-Aug-2025 15:31      1M
font-siji-20190218_git-r2.apk                      26-Oct-2024 04:07     24K
font-stix-otf-2.13-r0.apk                          26-Oct-2024 04:07      2M
font-stix-ttf-2.13-r0.apk                          26-Oct-2024 04:07    430K
font-tamzen-1.11.5-r1.apk                          26-Oct-2024 04:07     62K
font-terminus-ttf-4.49.3-r0.apk                    15-Jul-2025 19:28    538K
font-tinos-0_git20210228-r0.apk                    26-Oct-2024 04:07    199K
font-tiresias-0_git20200704-r0.apk                 26-Oct-2024 04:07    568K
font-tiresias-doc-0_git20200704-r0.apk             26-Oct-2024 04:07     58K
foolsm-1.0.21-r0.apk                               26-Oct-2024 04:07     31K
foolsm-doc-1.0.21-r0.apk                           26-Oct-2024 04:07    3946
foolsm-openrc-1.0.21-r0.apk                        26-Oct-2024 04:07    1586
formiko-1.5.0-r0.apk                               15-Aug-2025 18:06    107K
formiko-doc-1.5.0-r0.apk                           15-Aug-2025 18:06    8744
formiko-pyc-1.5.0-r0.apk                           15-Aug-2025 18:06     62K
fpc-3.2.2-r4.apk                                   26-Oct-2024 04:07     69M
fpc-doc-3.2.2-r4.apk                               26-Oct-2024 04:07      1M
fpc-stage0-3.2.2-r3.apk                            26-Oct-2024 04:07      6M
fplll-5.5.0-r0.apk                                 20-Nov-2024 11:43     43K
fplll-dev-5.5.0-r0.apk                             20-Nov-2024 11:43     78K
fplll-libs-5.5.0-r0.apk                            20-Nov-2024 11:43      6M
fplll-static-5.5.0-r0.apk                          20-Nov-2024 11:43      8M
fplll-strategies-5.5.0-r0.apk                      20-Nov-2024 11:43      2M
fpp-0.9.5-r0.apk                                   26-Oct-2024 04:07     29K
fpp-doc-0.9.5-r0.apk                               26-Oct-2024 04:07    5730
fq-0.16.0-r0.apk                                   13-Dec-2025 04:57      4M
freealut-1.1.0-r1.apk                              26-Oct-2024 04:07     16K
freealut-dev-1.1.0-r1.apk                          26-Oct-2024 04:07     21K
freediameter-1.5.0-r1.apk                          26-Oct-2024 04:07    9403
freediameter-dev-1.5.0-r1.apk                      26-Oct-2024 04:07     54K
freediameter-extensions-1.5.0-r1.apk               26-Oct-2024 04:07    427K
freediameter-libfdcore-1.5.0-r1.apk                26-Oct-2024 04:07    180K
freediameter-libfdproto-1.5.0-r1.apk               26-Oct-2024 04:07     93K
frescobaldi-3.3.0-r1.apk                           26-Oct-2024 04:07      3M
frescobaldi-doc-3.3.0-r1.apk                       26-Oct-2024 04:07    2539
frescobaldi-pyc-3.3.0-r1.apk                       26-Oct-2024 04:07      1M
freshrss-1.27.1-r0.apk                             03-Nov-2025 13:29      2M
freshrss-doc-1.27.1-r0.apk                         03-Nov-2025 13:29    846K
freshrss-lang-1.27.1-r0.apk                        03-Nov-2025 13:29    499K
freshrss-mysql-1.27.1-r0.apk                       03-Nov-2025 13:29    1302
freshrss-openrc-1.27.1-r0.apk                      03-Nov-2025 13:29    2560
freshrss-pgsql-1.27.1-r0.apk                       03-Nov-2025 13:29    1306
freshrss-sqlite-1.27.1-r0.apk                      03-Nov-2025 13:29    1305
freshrss-themes-1.27.1-r0.apk                      03-Nov-2025 13:29      1M
fulcrum-1.9.8-r1.apk                               26-Oct-2024 04:07    792K
fulcrum-admin-1.9.8-r1.apk                         26-Oct-2024 04:07    8103
fulcrum-doc-1.9.8-r1.apk                           26-Oct-2024 04:07     22K
fungw-1.2.2-r0.apk                                 20-Sep-2025 03:24     13K
fungw-c-1.2.2-r0.apk                               20-Sep-2025 03:24    7901
fungw-cli-1.2.2-r0.apk                             20-Sep-2025 03:24     22K
fungw-dev-1.2.2-r0.apk                             20-Sep-2025 03:24    8002
fungw-doc-1.2.2-r0.apk                             20-Sep-2025 03:24     13K
fungw-duktape-1.2.2-r0.apk                         20-Sep-2025 03:24     15K
fungw-fawk-1.2.2-r0.apk                            20-Sep-2025 03:24    102K
fungw-lua-1.2.2-r0.apk                             20-Sep-2025 03:24     13K
fungw-mujs-1.2.2-r0.apk                            20-Sep-2025 03:24     14K
fungw-perl-1.2.2-r0.apk                            20-Sep-2025 03:24     46K
fungw-python3-1.2.2-r0.apk                         20-Sep-2025 03:24     25K
fungw-tcl-1.2.2-r0.apk                             20-Sep-2025 03:24     12K
fusee-nano-0.5.3-r1.apk                            26-Oct-2024 04:07     20K
fusee-nano-udev-0.5.3-r1.apk                       26-Oct-2024 04:07    1745
fuseiso-20070708-r0.apk                            14-Apr-2025 10:10     15K
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 10:10    2646
fusesoc-2.3-r0.apk                                 26-Oct-2024 04:07     46K
fusesoc-pyc-2.3-r0.apk                             26-Oct-2024 04:07     89K
fxfloorboard-katana-mk2-20240515-r1.apk            26-Oct-2024 04:07      5M
fxfloorboard-katana-mk2-doc-20240515-r1.apk        26-Oct-2024 04:07      1M
fxload-2008.10.13-r0.apk                           07-Dec-2025 08:07    8042
fynedesk-0.4.0-r2.apk                              04-Dec-2025 22:54     13M
gambit-4.9.5-r1.apk                                07-Apr-2025 19:32     11M
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 19:32      7M
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 19:32    4437
game-devices-udev-0.25-r0.apk                      23-Oct-2025 14:06    7063
gamemode-1.8.2-r0.apk                              06-Feb-2025 08:19     69K
gamemode-dev-1.8.2-r0.apk                          06-Feb-2025 08:19    5222
gamemode-doc-1.8.2-r0.apk                          06-Feb-2025 08:19    7722
gammastep-2.0.9-r3.apk                             26-Oct-2024 04:07     87K
gammastep-doc-2.0.9-r3.apk                         26-Oct-2024 04:07     14K
gammastep-lang-2.0.9-r3.apk                        26-Oct-2024 04:07     78K
gammastep-pyc-2.0.9-r3.apk                         26-Oct-2024 04:07     17K
gatling-0.16-r6.apk                                26-Oct-2024 04:07    136K
gatling-doc-0.16-r6.apk                            26-Oct-2024 04:07    9293
gatling-openrc-0.16-r6.apk                         26-Oct-2024 04:07    2851
gaupol-1.12-r2.apk                                 26-Oct-2024 04:07    276K
gaupol-doc-1.12-r2.apk                             26-Oct-2024 04:07    2428
gaupol-lang-1.12-r2.apk                            26-Oct-2024 04:07    277K
gaupol-pyc-1.12-r2.apk                             26-Oct-2024 04:07    419K
gb-0.4.4-r36.apk                                   04-Dec-2025 22:54      7M
gcli-2.9.1-r0.apk                                  10-Nov-2025 01:39    115K
gcli-doc-2.9.1-r0.apk                              10-Nov-2025 01:39     38K
gdcm-3.2.2-r3.apk                                  02-Dec-2025 14:04    399K
gdcm-dev-3.2.2-r3.apk                              02-Dec-2025 14:04    450K
gdcm-doc-3.2.2-r3.apk                              02-Dec-2025 14:04     55K
gdcm-doc-html-3.2.2-r3.apk                         02-Dec-2025 14:04      9M
gdcm-doc-pdf-3.2.2-r3.apk                          02-Dec-2025 14:04     14M
gearman-dev-1.1.22-r0.apk                          09-Sep-2025 22:17   1004K
gearman-libs-1.1.22-r0.apk                         09-Sep-2025 22:17     67K
gearmand-1.1.22-r0.apk                             09-Sep-2025 22:17    147K
gearmand-doc-1.1.22-r0.apk                         09-Sep-2025 22:17    189K
gearmand-openrc-1.1.22-r0.apk                      09-Sep-2025 22:17    1851
gede-2.22.1-r0.apk                                 03-Nov-2025 05:48    349K
genact-1.4.2-r0.apk                                26-Oct-2024 04:07      1M
geoclue-stumbler-1.1-r0.apk                        05-Oct-2025 02:03     39K
geodns-3.3.0-r18.apk                               04-Dec-2025 22:54      5M
geodns-logs-3.3.0-r18.apk                          04-Dec-2025 22:54      4M
geodns-openrc-3.3.0-r18.apk                        04-Dec-2025 22:54    1826
geomyidae-0.34-r2.apk                              26-Oct-2024 04:07     13K
geomyidae-doc-0.34-r2.apk                          26-Oct-2024 04:07    7838
geomyidae-openrc-0.34-r2.apk                       26-Oct-2024 04:07    2040
geonames-0.3.1-r2.apk                              26-Oct-2024 04:07    826K
geonames-dev-0.3.1-r2.apk                          26-Oct-2024 04:07    3088
geonames-doc-0.3.1-r2.apk                          26-Oct-2024 04:07     13K
geonames-lang-0.3.1-r2.apk                         26-Oct-2024 04:07      5M
getmail6-6.19.10-r0.apk                            19-Aug-2025 20:29     70K
getmail6-doc-6.19.10-r0.apk                        19-Aug-2025 20:29    139K
getmail6-pyc-6.19.10-r0.apk                        19-Aug-2025 20:29    103K
getssl-2.48-r0.apk                                 26-Oct-2024 04:07     82K
getting-things-gnome-0.6-r4.apk                    09-Dec-2024 06:36    715K
getting-things-gnome-doc-0.6-r4.apk                09-Dec-2024 06:36    497K
getting-things-gnome-lang-0.6-r4.apk               09-Dec-2024 06:36    229K
gf2x-1.3.0-r1.apk                                  26-Oct-2024 04:07     34K
gf2x-dev-1.3.0-r1.apk                              26-Oct-2024 04:07     55K
ghc-filesystem-1.5.14-r0.apk                       26-Oct-2024 04:07     39K
ghq-1.8.0-r6.apk                                   04-Dec-2025 22:54      4M
ghq-bash-completion-1.8.0-r6.apk                   04-Dec-2025 22:54    1835
ghq-doc-1.8.0-r6.apk                               04-Dec-2025 22:54    5634
ghq-fish-completion-1.8.0-r6.apk                   04-Dec-2025 22:54    2613
ghq-zsh-completion-1.8.0-r6.apk                    04-Dec-2025 22:54    2549
gimp-plugin-gmic-3.6.0-r1.apk                      25-Oct-2025 17:51      1M
ginac-1.8.9-r0.apk                                 25-May-2025 16:49   1007K
ginac-dev-1.8.9-r0.apk                             25-May-2025 16:49     68K
ginac-doc-1.8.9-r0.apk                             25-May-2025 16:49     98K
ginger-2.4.0-r7.apk                                26-Oct-2024 04:07    257K
ginger-lang-2.4.0-r7.apk                           26-Oct-2024 04:07    125K
ginger-pyc-2.4.0-r7.apk                            26-Oct-2024 04:07    207K
gingerbase-2.3.0-r7.apk                            26-Oct-2024 04:07    195K
gingerbase-lang-2.3.0-r7.apk                       26-Oct-2024 04:07     53K
gingerbase-pyc-2.3.0-r7.apk                        26-Oct-2024 04:07     61K
git-bug-0.8.1-r6.apk                               04-Dec-2025 22:54     10M
git-bug-bash-completion-0.8.1-r6.apk               04-Dec-2025 22:54    5401
git-bug-doc-0.8.1-r6.apk                           04-Dec-2025 22:54     17K
git-bug-fish-completion-0.8.1-r6.apk               04-Dec-2025 22:54    4436
git-bug-zsh-completion-0.8.1-r6.apk                04-Dec-2025 22:54    4150
git-extras-7.4.0-r0.apk                            24-Jul-2025 22:42     57K
git-extras-bash-completion-7.4.0-r0.apk            24-Jul-2025 22:42    2943
git-extras-doc-7.4.0-r0.apk                        24-Jul-2025 22:42     65K
git-graph-0.6.0-r0.apk                             26-Nov-2024 08:38    752K
git-graph-doc-0.6.0-r0.apk                         26-Nov-2024 08:38    6393
git-quick-stats-2.8.0-r0.apk                       11-Sep-2025 17:18     15K
git-quick-stats-doc-2.8.0-r0.apk                   11-Sep-2025 17:18    3552
git-revise-0.7.0-r5.apk                            26-Oct-2024 04:07     24K
git-revise-doc-0.7.0-r5.apk                        26-Oct-2024 04:07    5080
git-revise-pyc-0.7.0-r5.apk                        26-Oct-2024 04:07     42K
git-secret-0.5.0-r0.apk                            26-Oct-2024 04:07     15K
git-secret-doc-0.5.0-r0.apk                        26-Oct-2024 04:07     17K
git2json-0.2.3-r8.apk                              26-Oct-2024 04:07    7600
git2json-pyc-0.2.3-r8.apk                          26-Oct-2024 04:07    5826
gkrellm-2.3.11-r0.apk                              09-Jan-2025 07:36    346K
gkrellm-dev-2.3.11-r0.apk                          09-Jan-2025 07:36     17K
gkrellm-doc-2.3.11-r0.apk                          09-Jan-2025 07:36     19K
gkrellm-lang-2.3.11-r0.apk                         09-Jan-2025 07:36    379K
gkrellm-server-2.3.11-r0.apk                       09-Jan-2025 07:36     47K
gl2ps-1.4.2-r0.apk                                 13-Oct-2025 06:33     34K
gl2ps-dev-1.4.2-r0.apk                             13-Oct-2025 06:33    4452
gl2ps-doc-1.4.2-r0.apk                             13-Oct-2025 06:33    230K
gl2ps-static-1.4.2-r0.apk                          13-Oct-2025 06:33     40K
glfw-wayland-3.3.8-r3.apk                          26-Oct-2024 04:07     57K
glfw-wayland-dbg-3.3.8-r3.apk                      26-Oct-2024 04:07    201K
glfw-wayland-dev-3.3.8-r3.apk                      26-Oct-2024 04:07     46K
gliderlabs-sigil-0.11.0-r10.apk                    04-Dec-2025 22:54      3M
gliderlabs-sigil-doc-0.11.0-r10.apk                04-Dec-2025 22:54    2479
glmark2-2023.01-r1.apk                             26-Oct-2024 04:07      8M
glmark2-doc-2023.01-r1.apk                         26-Oct-2024 04:07     13K
gloox-1.0.28-r0.apk                                26-Oct-2024 04:07    332K
gloox-dev-1.0.28-r0.apk                            26-Oct-2024 04:07    896K
glow-2.1.1-r5.apk                                  04-Dec-2025 22:54      6M
glow-bash-completion-2.1.1-r5.apk                  04-Dec-2025 22:54    6246
glow-doc-2.1.1-r5.apk                              04-Dec-2025 22:54    3282
glow-fish-completion-2.1.1-r5.apk                  04-Dec-2025 22:54    4428
glow-zsh-completion-2.1.1-r5.apk                   04-Dec-2025 22:54    4144
glslviewer-3.2.4-r2.apk                            29-Aug-2025 02:48      1M
gmcapsule-0.9.8-r0.apk                             08-Oct-2025 19:22     36K
gmcapsule-openrc-0.9.8-r0.apk                      08-Oct-2025 19:22    2029
gmcapsule-pyc-0.9.8-r0.apk                         08-Oct-2025 19:22     61K
gmenuharness-0.1.4-r2.apk                          22-Feb-2025 21:17     32K
gmenuharness-dev-0.1.4-r2.apk                      22-Feb-2025 21:17    4163
gmic-3.6.0-r1.apk                                  25-Oct-2025 17:51     12M
gmic-bash-completion-3.6.0-r1.apk                  25-Oct-2025 17:51     29K
gmic-dev-3.6.0-r1.apk                              25-Oct-2025 17:51    7806
gmic-doc-3.6.0-r1.apk                              25-Oct-2025 17:51    223K
gmic-libs-3.6.0-r1.apk                             25-Oct-2025 17:51      3M
gmic-qt-3.6.0-r1.apk                               25-Oct-2025 17:51      2M
gmid-2.1.1-r0.apk                                  28-Nov-2024 04:26    190K
gmid-doc-2.1.1-r0.apk                              28-Nov-2024 04:26     14K
gmid-openrc-2.1.1-r0.apk                           28-Nov-2024 04:26    2247
gnome-common-3.18.0-r3.apk                         26-Oct-2024 04:07     12K
gnome-latex-3.48.0-r0.apk                          08-Apr-2025 06:29    344K
gnome-latex-doc-3.48.0-r0.apk                      08-Apr-2025 06:29    108K
gnome-latex-lang-3.48.0-r0.apk                     08-Apr-2025 06:29    530K
gnome-mahjongg-49.0.1-r0.apk                       19-Oct-2025 06:03      2M
gnome-mahjongg-doc-49.0.1-r0.apk                   19-Oct-2025 06:03    2252
gnome-mahjongg-lang-49.0.1-r0.apk                  19-Oct-2025 06:03    170K
gnome-metronome-1.3.0-r0.apk                       26-Oct-2024 04:07    411K
gnome-metronome-lang-1.3.0-r0.apk                  26-Oct-2024 04:07     25K
gnome-mimeapps-0.1-r1.apk                          09-Aug-2025 09:22    3780
gnome-user-share-48.2-r0.apk                       23-Nov-2025 19:47    270K
gnome-user-share-lang-48.2-r0.apk                  23-Nov-2025 19:47     68K
gnome-user-share-systemd-48.2-r0.apk               23-Nov-2025 19:47    1773
gnu-apl-1.9-r0.apk                                 26-Oct-2024 04:07      1M
gnu-apl-dev-1.9-r0.apk                             26-Oct-2024 04:07    580K
gnu-apl-doc-1.9-r0.apk                             26-Oct-2024 04:07      2M
gnucobol-3.2-r0.apk                                28-Jul-2025 23:02    771K
gnucobol-doc-3.2-r0.apk                            28-Jul-2025 23:02     71K
gnucobol-lang-3.2-r0.apk                           28-Jul-2025 23:02    316K
go-away-0.7.0-r1.apk                               09-Sep-2025 22:17      8M
go-away-openrc-0.7.0-r1.apk                        09-Sep-2025 22:17    2319
go-jsonnet-0.21.0-r5.apk                           04-Dec-2025 22:54      6M
go-mtpfs-1.0.0-r32.apk                             04-Dec-2025 22:54      1M
go-passbolt-cli-0.3.2-r8.apk                       04-Dec-2025 22:54      6M
go-tools-0.40.0-r0.apk                             13-Dec-2025 00:18     45M
gobang-0.1.0_alpha5-r1.apk                         26-Oct-2024 04:07      2M
gobuster-3.8.0-r3.apk                              04-Dec-2025 22:54      4M
godini-1.0.0-r5.apk                                04-Dec-2025 22:54      1M
godini-doc-1.0.0-r5.apk                            04-Dec-2025 22:54     15K
godot-4.5.1-r0.apk                                 19-Dec-2025 02:46     62M
godot-doc-4.5.1-r0.apk                             19-Dec-2025 02:46    4659
godot-templates-4.5.1-r0.apk                       19-Dec-2025 02:46     44M
gomp-1.0.0-r17.apk                                 04-Dec-2025 22:54      4M
goomwwm-1.0.0-r5.apk                               26-Oct-2024 04:07     42K
goreman-0.3.15-r18.apk                             04-Dec-2025 22:54      2M
goshs-1.1.3-r0.apk                                 19-Dec-2025 06:15      6M
goshs-doc-1.1.3-r0.apk                             19-Dec-2025 06:15    2314
gotify-2.7.2-r2.apk                                04-Dec-2025 22:54     11M
gotify-cli-2.3.2-r10.apk                           04-Dec-2025 22:54      4M
gotify-openrc-2.7.2-r2.apk                         04-Dec-2025 22:54    2047
goxel-0.15.1-r0.apk                                26-Oct-2024 04:07      2M
gprbuild-25.0.0-r0.apk                             29-Oct-2025 11:55     13M
gpsbabel-1.10.0-r0.apk                             06-Nov-2025 22:14      1M
gpsbabel-lang-1.10.0-r0.apk                        06-Nov-2025 22:14     88K
gpscorrelate-2.3-r0.apk                            27-Mar-2025 15:36     45K
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 15:36     22K
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 15:36    285K
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 15:36     17K
gr-satellites-5.5.0-r6.apk                         12-Oct-2025 21:12    456K
gr-satellites-dev-5.5.0-r6.apk                     12-Oct-2025 21:12     13K
gr-satellites-doc-5.5.0-r6.apk                     12-Oct-2025 21:12    4625
gradia-1.9.0-r0.apk                                09-Sep-2025 22:17    530K
gradia-dev-1.9.0-r0.apk                            09-Sep-2025 22:17    2591
gradia-lang-1.9.0-r0.apk                           09-Sep-2025 22:17     56K
grcov-0.8.20-r0.apk                                11-Nov-2024 19:03      2M
greetd-mini-wl-greeter-0_git20230821-r0.apk        26-Oct-2024 04:07     18K
greetd-mini-wl-greeter-bash-completion-0_git202..> 26-Oct-2024 04:07    2221
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    26-Oct-2024 04:07    3334
grip-4.2.4-r0.apk                                  26-Oct-2024 04:07    372K
grip-doc-4.2.4-r0.apk                              26-Oct-2024 04:07    6324
grip-lang-4.2.4-r0.apk                             26-Oct-2024 04:07    144K
grpcui-1.5.1-r4.apk                                04-Dec-2025 22:54      8M
grpcurl-1.9.3-r8.apk                               04-Dec-2025 22:54      8M
gsettings-qt-1.1.0-r0.apk                          11-Dec-2025 02:06     26K
gsettings-qt-dev-1.1.0-r0.apk                      11-Dec-2025 02:06    3635
gsimplecal-2.5.2-r0.apk                            17-Oct-2025 03:48     15K
gsimplecal-doc-2.5.2-r0.apk                        17-Oct-2025 03:48    5923
gssdp-1.6.4-r1.apk                                 09-Aug-2025 09:22     41K
gssdp-dev-1.6.4-r1.apk                             09-Aug-2025 09:22     16K
gst-audio-thumbnailer-1.0_alpha1-r2.apk            21-Dec-2025 04:24    236K
gst-thumbnailers-1.0_alpha1-r2.apk                 21-Dec-2025 04:24    1303
gst-video-thumbnailer-1.0_alpha1-r2.apk            21-Dec-2025 04:24    249K
gstreamermm-1.10.0-r6.apk                          22-Feb-2025 21:17    403K
gstreamermm-dev-1.10.0-r6.apk                      22-Feb-2025 21:17    310K
gtimelog-0.12_git20251114-r0.apk                   19-Nov-2025 05:35    219K
gtimelog-pyc-0.12_git20251114-r0.apk               19-Nov-2025 05:35    102K
gtk-session-lock-0.2.0-r0.apk                      02-Feb-2025 02:06     23K
gtk-session-lock-dev-0.2.0-r0.apk                  02-Feb-2025 02:06    5404
gtkhash-1.5-r0.apk                                 26-Oct-2024 04:07     84K
gtkhash-lang-1.5-r0.apk                            26-Oct-2024 04:07     47K
gtklock-4.0.0-r0.apk                               02-Feb-2025 02:06     17K
gtklock-doc-4.0.0-r0.apk                           02-Feb-2025 02:06    3040
gtkwave-3.3.120-r0.apk                             26-Oct-2024 04:07      2M
gtkwave-doc-3.3.120-r0.apk                         26-Oct-2024 04:07     27K
gtranslator-49.0-r0.apk                            16-Sep-2025 13:50    127K
gtranslator-doc-49.0-r0.apk                        16-Sep-2025 13:50    638K
gtranslator-lang-49.0-r0.apk                       16-Sep-2025 13:50    593K
guake-3.10.1-r0.apk                                25-Nov-2025 03:07    304K
guake-lang-3.10.1-r0.apk                           25-Nov-2025 03:07    194K
guake-pyc-3.10.1-r0.apk                            25-Nov-2025 03:07    186K
guestfs-tools-1.56.1-r0.apk                        24-Jul-2025 22:42    276K
guetzli-0_git20191025-r2.apk                       25-May-2025 16:49    128K
guetzli-dev-0_git20191025-r2.apk                   25-May-2025 16:49      2M
gufw-24.04-r3.apk                                  20-Nov-2024 11:43    596K
gufw-doc-24.04-r3.apk                              20-Nov-2024 11:43    4590
gufw-lang-24.04-r3.apk                             20-Nov-2024 11:43    855K
gufw-pyc-24.04-r3.apk                              20-Nov-2024 11:43     65K
guish-2.6.11-r0.apk                                24-Dec-2024 19:42     81K
guish-doc-2.6.11-r0.apk                            24-Dec-2024 19:42     61K
gupnp-1.6.9-r1.apk                                 09-Aug-2025 09:22     79K
gupnp-av-0.14.4-r1.apk                             09-Aug-2025 09:22     72K
gupnp-av-dev-0.14.4-r1.apk                         09-Aug-2025 09:22     42K
gupnp-dev-1.6.9-r1.apk                             09-Aug-2025 09:22     50K
gupnp-dlna-0.12.0-r1.apk                           09-Aug-2025 09:22     59K
gupnp-dlna-dev-0.12.0-r1.apk                       09-Aug-2025 09:22     24K
gupnp-doc-1.6.9-r1.apk                             09-Aug-2025 09:22    3896
gx-0.14.3-r35.apk                                  04-Dec-2025 22:54      5M
gx-doc-0.14.3-r35.apk                              04-Dec-2025 22:54    2325
gx-go-1.9.0-r37.apk                                04-Dec-2025 22:54      5M
gx-go-doc-1.9.0-r37.apk                            04-Dec-2025 22:54    2326
gyosu-0.2.0-r3.apk                                 04-Dec-2025 22:54      2M
h4h5tools-2.2.5-r4.apk                             26-Oct-2024 04:07    112K
h4h5tools-dev-2.2.5-r4.apk                         26-Oct-2024 04:07    8962
h4h5tools-doc-2.2.5-r4.apk                         26-Oct-2024 04:07    2789
h4h5tools-static-2.2.5-r4.apk                      26-Oct-2024 04:07    108K
habitctl-0.1.0-r2.apk                              26-Oct-2024 04:07    285K
halp-0.2.0-r0.apk                                  26-Oct-2024 04:07    830K
halp-bash-completion-0.2.0-r0.apk                  26-Oct-2024 04:07    2227
halp-doc-0.2.0-r0.apk                              26-Oct-2024 04:07    7045
halp-fish-completion-0.2.0-r0.apk                  26-Oct-2024 04:07    2000
halp-zsh-completion-0.2.0-r0.apk                   26-Oct-2024 04:07    2464
hamster-time-tracker-3.0.3-r2.apk                  26-Oct-2024 04:07    156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk  26-Oct-2024 04:07    2018
hamster-time-tracker-doc-3.0.3-r2.apk              26-Oct-2024 04:07    116K
hamster-time-tracker-lang-3.0.3-r2.apk             26-Oct-2024 04:07    206K
hamster-time-tracker-pyc-3.0.3-r2.apk              26-Oct-2024 04:07    358K
haproxy-dataplaneapi2-2.9.18-r2.apk                04-Dec-2025 22:54     10M
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk         04-Dec-2025 22:54    2181
hardinfo2-2.2.13-r0.apk                            28-Sep-2025 21:06      3M
hardinfo2-doc-2.2.13-r0.apk                        28-Sep-2025 21:06    3078
hardinfo2-lang-2.2.13-r0.apk                       28-Sep-2025 21:06    292K
hardinfo2-openrc-2.2.13-r0.apk                     28-Sep-2025 21:06    2000
harminv-1.4.2-r1.apk                               26-Oct-2024 04:07    7291
harminv-dev-1.4.2-r1.apk                           26-Oct-2024 04:07    3176
harminv-doc-1.4.2-r1.apk                           26-Oct-2024 04:07    5772
harminv-libs-1.4.2-r1.apk                          26-Oct-2024 04:07     11K
hashcat-6.2.6-r0.apk                               26-Oct-2024 04:07     52M
hashcat-doc-6.2.6-r0.apk                           26-Oct-2024 04:07      2M
hatch-1.16.1-r0.apk                                01-Dec-2025 05:01    115K
hatch-pyc-1.16.1-r0.apk                            01-Dec-2025 05:01    246K
hatop-0.8.2-r0.apk                                 26-Oct-2024 04:07     18K
hatop-doc-0.8.2-r0.apk                             26-Oct-2024 04:07    3067
haxe-4.3.3-r1.apk                                  22-Mar-2025 23:33     10M
haxe-doc-4.3.3-r1.apk                              22-Mar-2025 23:33    7930
hctl-0.2.7-r0.apk                                  14-May-2025 09:04      1M
hddfancontrol-1.6.2-r0.apk                         26-Oct-2024 04:07     33K
hddfancontrol-openrc-1.6.2-r0.apk                  26-Oct-2024 04:07    2240
hddfancontrol-pyc-1.6.2-r0.apk                     26-Oct-2024 04:07     34K
hdf4-4.2.15-r2.apk                                 26-Oct-2024 04:07    241K
hdf4-dev-4.2.15-r2.apk                             26-Oct-2024 04:07    101K
hdf4-doc-4.2.15-r2.apk                             26-Oct-2024 04:07    6149
hdf4-tools-4.2.15-r2.apk                           26-Oct-2024 04:07    173K
heh-0.6.2-r0.apk                                   12-Dec-2025 23:48    422K
heh-doc-0.6.2-r0.apk                               12-Dec-2025 23:48    4169
heisenbridge-1.15.4-r0.apk                         08-Oct-2025 19:22     67K
heisenbridge-pyc-1.15.4-r0.apk                     08-Oct-2025 19:22    155K
helm-diff-3.13.1-r1.apk                            04-Dec-2025 22:54     21M
helm-ls-0.5.4-r1.apk                               04-Dec-2025 22:54     18M
helm-ls-doc-0.5.4-r1.apk                           04-Dec-2025 22:54    2305
helm-mapkubeapis-0.6.1-r1.apk                      04-Dec-2025 22:54     21M
helm-unittest-1.0.3-r1.apk                         04-Dec-2025 22:54     12M
helmfile-1.1.8-r1.apk                              04-Dec-2025 22:54     58M
helmfile-bash-completion-1.1.8-r1.apk              04-Dec-2025 22:54    6258
helmfile-doc-1.1.8-r1.apk                          04-Dec-2025 22:54    2310
helmfile-fish-completion-1.1.8-r1.apk              04-Dec-2025 22:54    4432
helmfile-zsh-completion-1.1.8-r1.apk               04-Dec-2025 22:54    4142
herbe-1.0.0-r0.apk                                 26-Oct-2024 04:07    5759
hex-0.6.0-r0.apk                                   26-Oct-2024 04:07    258K
hexedit-1.6_git20230905-r0.apk                     26-Oct-2024 04:07     17K
hexedit-doc-1.6_git20230905-r0.apk                 26-Oct-2024 04:07    5701
hfst-3.16.2-r0.apk                                 30-Mar-2025 03:40      1M
hfst-dev-3.16.2-r0.apk                             30-Mar-2025 03:40    209K
hfst-doc-3.16.2-r0.apk                             30-Mar-2025 03:40     70K
hfst-libs-3.16.2-r0.apk                            30-Mar-2025 03:40      2M
hiawatha-11.6-r1.apk                               27-May-2025 20:06    150K
hiawatha-doc-11.6-r1.apk                           27-May-2025 20:06     21K
hiawatha-letsencrypt-11.6-r1.apk                   27-May-2025 20:06     17K
hiawatha-openrc-11.6-r1.apk                        27-May-2025 20:06    1726
hidrd-0.2.0_git20190603-r1.apk                     26-Oct-2024 04:07     63K
hidrd-dev-0.2.0_git20190603-r1.apk                 26-Oct-2024 04:07    118K
highfive-2.10.1-r0.apk                             15-Jan-2025 11:50     75K
hilbish-2.3.4-r10.apk                              04-Dec-2025 22:54      3M
hilbish-doc-2.3.4-r10.apk                          04-Dec-2025 22:54     25K
himitsu-secret-service-0.1_git20250705-r1.apk      20-Oct-2025 22:57     16K
himitsu-secret-service-doc-0.1_git20250705-r1.apk  20-Oct-2025 22:57    4087
himitsu-secret-service-pyc-0.1_git20250705-r1.apk  20-Oct-2025 22:57     29K
hiprompt-gtk-py-0.8.0-r1.apk                       19-Sep-2025 23:53    8238
hitide-0.15.0-r0.apk                               26-Oct-2024 04:07      2M
hitide-openrc-0.15.0-r0.apk                        26-Oct-2024 04:07    2166
homebank-5.9.5-r0.apk                              14-Oct-2025 23:54      2M
homebank-lang-5.9.5-r0.apk                         14-Oct-2025 23:54    942K
horizon-0.9.6-r9.apk                               26-Oct-2024 04:07    187K
horizon-dbg-0.9.6-r9.apk                           26-Oct-2024 04:07      4M
horizon-dev-0.9.6-r9.apk                           26-Oct-2024 04:07    4994
horizon-doc-0.9.6-r9.apk                           26-Oct-2024 04:07     21K
horizon-image-0.9.6-r9.apk                         26-Oct-2024 04:07     60K
horizon-tools-0.9.6-r9.apk                         26-Oct-2024 04:07     67K
hping3-20051105-r4.apk                             26-Oct-2024 04:07     63K
hping3-doc-20051105-r4.apk                         26-Oct-2024 04:07     17K
hpnssh-18.8.0-r0.apk                               29-Nov-2025 04:39      3M
hpnssh-doc-18.8.0-r0.apk                           29-Nov-2025 04:39    101K
hsetroot-1.0.5-r1.apk                              26-Oct-2024 04:07     11K
hstdb-2.1.0-r2.apk                                 26-Oct-2024 04:07    787K
htmlcxx-0.87-r1.apk                                26-Oct-2024 04:07     54K
htmlcxx-dev-0.87-r1.apk                            26-Oct-2024 04:07     21K
httpie-oauth-1.0.2-r9.apk                          26-Oct-2024 04:07    3443
httpie-oauth-pyc-1.0.2-r9.apk                      26-Oct-2024 04:07    2366
httplz-2.2.0-r0.apk                                19-May-2025 00:38      1M
httplz-doc-2.2.0-r0.apk                            19-May-2025 00:38    2307
httpx-1.7.4-r0.apk                                 11-Dec-2025 02:06     17M
httpx-doc-1.7.4-r0.apk                             11-Dec-2025 02:06    2333
httrack-3.49.2-r5.apk                              26-Oct-2024 04:07    717K
httrack-doc-3.49.2-r5.apk                          26-Oct-2024 04:07    528K
hub-2.14.2-r36.apk                                 04-Dec-2025 22:54      3M
hub-bash-completion-2.14.2-r36.apk                 04-Dec-2025 22:54    4697
hub-doc-2.14.2-r36.apk                             04-Dec-2025 22:54     42K
hub-fish-completion-2.14.2-r36.apk                 04-Dec-2025 22:54    3385
hub-zsh-completion-2.14.2-r36.apk                  04-Dec-2025 22:54    3800
hubble-cli-0.13.6-r10.apk                          04-Dec-2025 22:54     18M
hubble-cli-bash-completion-0.13.6-r10.apk          04-Dec-2025 22:54    5191
hubble-cli-fish-completion-0.13.6-r10.apk          04-Dec-2025 22:54    4437
hubble-cli-zsh-completion-0.13.6-r10.apk           04-Dec-2025 22:54    4150
hunspell-ca-es-3.0.7-r0.apk                        26-Oct-2024 04:07    731K
hunspell-fr-7.7-r0.apk                             18-Dec-2025 05:04   1003K
hunspell-fr-doc-7.7-r0.apk                         18-Dec-2025 05:04    2922
hurl-7.1.0-r0.apk                                  06-Dec-2025 03:41      2M
hurl-bash-completion-7.1.0-r0.apk                  06-Dec-2025 03:41    2371
hurl-doc-7.1.0-r0.apk                              06-Dec-2025 03:41    9375
hurl-fish-completion-7.1.0-r0.apk                  06-Dec-2025 03:41    3712
hurl-zsh-completion-7.1.0-r0.apk                   06-Dec-2025 03:41    4210
hw-probe-1.6.6-r2.apk                              02-Jul-2025 03:10    124K
hwatch-0.3.11-r0.apk                               26-Oct-2024 04:07    894K
hwatch-doc-0.3.11-r0.apk                           26-Oct-2024 04:07    3089
hwatch-fish-completion-0.3.11-r0.apk               26-Oct-2024 04:07    1835
hwatch-zsh-completion-0.3.11-r0.apk                26-Oct-2024 04:07    1948
hx-1.0.15-r0.apk                                   26-Oct-2024 04:07     13K
hx-doc-1.0.15-r0.apk                               26-Oct-2024 04:07    4899
hyfetch-2.0.5-r0.apk                               22-Nov-2025 03:05    794K
hyfetch-bash-completion-2.0.5-r0.apk               22-Nov-2025 03:05    3413
hyfetch-doc-2.0.5-r0.apk                           22-Nov-2025 03:05     20K
hyfetch-zsh-completion-2.0.5-r0.apk                22-Nov-2025 03:05    2628
hyperrogue-13.1i-r0.apk                            19-Dec-2025 12:52     83M
hyperrogue-doc-13.1i-r0.apk                        19-Dec-2025 12:52    8174
hypnotix-3.5-r0.apk                                26-Oct-2024 04:07    110K
hypnotix-lang-3.5-r0.apk                           26-Oct-2024 04:07     72K
hypridle-0.1.7-r1.apk                              14-Dec-2025 19:57    109K
hypridle-doc-0.1.7-r1.apk                          14-Dec-2025 19:57    2455
hypridle-openrc-0.1.7-r1.apk                       14-Dec-2025 19:57    1742
hyprlock-0.9.2-r0.apk                              14-Dec-2025 19:57    360K
hyprpicker-0.4.5-r1.apk                            14-Dec-2025 19:57     96K
hyprpicker-doc-0.4.5-r1.apk                        14-Dec-2025 19:57    3914
hyprsunset-0.3.3-r1.apk                            14-Dec-2025 19:57    118K
hyprsunset-doc-0.3.3-r1.apk                        14-Dec-2025 19:57    2488
hyprsunset-openrc-0.3.3-r1.apk                     14-Dec-2025 19:57    1802
hyx-2024.02.29-r0.apk                              26-Oct-2024 04:07     16K
hyx-doc-2024.02.29-r0.apk                          26-Oct-2024 04:07    2292
i2util-4.2.1-r1.apk                                26-Oct-2024 04:07     20K
i2util-dev-4.2.1-r1.apk                            26-Oct-2024 04:07     43K
i2util-doc-4.2.1-r1.apk                            26-Oct-2024 04:07    4826
i3bar-river-1.1.0-r1.apk                           30-Oct-2025 07:52    455K
i3bar-river-openrc-1.1.0-r1.apk                    30-Oct-2025 07:52    1752
i3bar-river-systemd-1.1.0-r1.apk                   30-Oct-2025 07:52    1925
i3status-rust-0.34.0-r0.apk                        24-Jul-2025 22:42      4M
i3status-rust-doc-0.34.0-r0.apk                    24-Jul-2025 22:42     33K
ibus-typing-booster-2.29.0-r0.apk                  16-Dec-2025 23:54     13M
ibus-typing-booster-lang-2.29.0-r0.apk             16-Dec-2025 23:54    317K
ibus-typing-booster-pyc-2.29.0-r0.apk              16-Dec-2025 23:54      1M
icesprog-0_git20240108-r1.apk                      26-Oct-2024 04:07    8420
icesprog-udev-0_git20240108-r1.apk                 26-Oct-2024 04:07    1940
icestorm-0_git20240517-r0.apk                      26-Oct-2024 04:07     17M
icingaweb2-module-businessprocess-2.5.2-r0.apk     29-Sep-2025 15:12    110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk 29-Sep-2025 15:12      2M
icingaweb2-module-fileshipper-1.2.0-r3.apk         29-Sep-2025 15:12     11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk     29-Sep-2025 15:12    231K
icingaweb2-module-generictts-2.1.0-r0.apk          29-Sep-2025 15:12    6453
icingaweb2-module-generictts-doc-2.1.0-r0.apk      29-Sep-2025 15:12    1838
icingaweb2-module-pnp-1.1.0-r1.apk                 29-Sep-2025 15:12    8921
icingaweb2-module-pnp-doc-1.1.0-r1.apk             29-Sep-2025 15:12    1833
identities-0.2.2-r0.apk                            19-Oct-2025 00:40     17K
identme-0.6.0-r0.apk                               03-Apr-2025 21:33     43K
idesk-1-r1.apk                                     26-Oct-2024 04:07     60K
ideviceinstaller-1.1.1-r4.apk                      31-Oct-2024 07:44     14K
ideviceinstaller-doc-1.1.1-r4.apk                  31-Oct-2024 07:44    2514
idevicerestore-1.0.0_git20250914-r0.apk            08-Oct-2025 19:22     95K
idevicerestore-doc-1.0.0_git20250914-r0.apk        08-Oct-2025 19:22    3494
ifuse-1.1.4-r5.apk                                 31-Oct-2024 07:44    9659
ifuse-doc-1.1.4-r5.apk                             31-Oct-2024 07:44    2397
igrep-1.2.0-r0.apk                                 26-Oct-2024 04:07      1M
igrep-doc-1.2.0-r0.apk                             26-Oct-2024 04:07    4233
ijq-1.2.0-r2.apk                                   04-Dec-2025 22:54      1M
ijq-doc-1.2.0-r2.apk                               04-Dec-2025 22:54    3679
imapfilter-2.8.2-r0.apk                            26-Oct-2024 04:07     35K
imapfilter-doc-2.8.2-r0.apk                        26-Oct-2024 04:07     13K
imapgoose-0.4.1-r1.apk                             04-Dec-2025 22:54      2M
imapgoose-doc-0.4.1-r1.apk                         04-Dec-2025 22:54    5832
imapgoose-openrc-0.4.1-r1.apk                      04-Dec-2025 22:54    1773
imapgoose-systemd-0.4.1-r1.apk                     04-Dec-2025 22:54    1818
imediff-2.6-r1.apk                                 26-Oct-2024 04:07     42K
imediff-doc-2.6-r1.apk                             26-Oct-2024 04:07    6686
imediff-pyc-2.6-r1.apk                             26-Oct-2024 04:07     44K
imgdiff-1.0.2-r31.apk                              04-Dec-2025 22:54   1010K
imgdiff-doc-1.0.2-r31.apk                          04-Dec-2025 22:54    2314
imrsh-0_git20210320-r1.apk                         26-Oct-2024 04:07    7804
imrsh-dbg-0_git20210320-r1.apk                     26-Oct-2024 04:07     20K
incus-ui-canonical-0.18.0-r0.apk                   22-Aug-2025 21:19      5M
infnoise-0.3.3-r0.apk                              26-May-2025 17:58     15K
infnoise-doc-0.3.3-r0.apk                          26-May-2025 17:58    4247
infnoise-openrc-0.3.3-r0.apk                       26-May-2025 17:58    1770
initify-0_git20171210-r1.apk                       26-Oct-2024 04:07    3363
innernet-1.6.1-r0.apk                              26-Oct-2024 04:07      2M
innernet-bash-completion-1.6.1-r0.apk              26-Oct-2024 04:07    3889
innernet-doc-1.6.1-r0.apk                          26-Oct-2024 04:07    9224
innernet-fish-completion-1.6.1-r0.apk              26-Oct-2024 04:07    4618
innernet-openrc-1.6.1-r0.apk                       26-Oct-2024 04:07    2328
innernet-zsh-completion-1.6.1-r0.apk               26-Oct-2024 04:07    5559
interception-tools-0.6.8-r3.apk                    12-Oct-2025 23:19     89K
interception-tools-openrc-0.6.8-r3.apk             12-Oct-2025 23:19    1735
invidtui-0.4.6-r10.apk                             04-Dec-2025 22:54      4M
ip2location-8.6.1-r0.apk                           26-Oct-2024 04:07     21K
ip2location-dev-8.6.1-r0.apk                       26-Oct-2024 04:07     11K
ip2location-doc-8.6.1-r0.apk                       26-Oct-2024 04:07    2776
ipp-usb-0.9.30-r5.apk                              04-Dec-2025 22:54      3M
ipp-usb-doc-0.9.30-r5.apk                          04-Dec-2025 22:54    9028
ipp-usb-openrc-0.9.30-r5.apk                       04-Dec-2025 22:54    1769
iprange-1.0.4-r1.apk                               26-Oct-2024 04:07     20K
iprange-doc-1.0.4-r1.apk                           26-Oct-2024 04:07    4644
irccd-4.0.3-r0.apk                                 26-Oct-2024 04:07    223K
irccd-dev-4.0.3-r0.apk                             26-Oct-2024 04:07    9829
irccd-doc-4.0.3-r0.apk                             26-Oct-2024 04:07     80K
irccd-openrc-4.0.3-r0.apk                          26-Oct-2024 04:07    1840
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 13:01    309K
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 13:01    3699
ircdog-0.5.4-r10.apk                               04-Dec-2025 22:54      2M
isoinfo-0_git20131217-r1.apk                       26-Oct-2024 04:07    6268
isomd5sum-1.2.5-r0.apk                             15-Jul-2025 19:28     18K
isomd5sum-doc-1.2.5-r0.apk                         15-Jul-2025 19:28    3048
it87-src-1_p20240609-r0.apk                        26-Oct-2024 04:07     30K
jack_capture-0.9.73_git20210429-r2.apk             26-Oct-2024 04:07     30K
jackal-0.64.0-r20.apk                              04-Dec-2025 22:54     12M
jackal-openrc-0.64.0-r20.apk                       04-Dec-2025 22:54    1881
jackdaw-0.3.1-r2.apk                               29-May-2025 20:58      2M
jackdaw-pyc-0.3.1-r2.apk                           29-May-2025 20:58    364K
jalv-1.6.8-r1.apk                                  26-Oct-2024 04:07     43K
jalv-doc-1.6.8-r1.apk                              26-Oct-2024 04:07    3232
jalv-gtk-1.6.8-r1.apk                              26-Oct-2024 04:07     30K
jaq-2.3.0-r0.apk                                   24-Jul-2025 22:42    684K
jaq-doc-2.3.0-r0.apk                               24-Jul-2025 22:42    2291
java-gdcm-3.2.2-r3.apk                             02-Dec-2025 14:04    582K
java-jtharness-6.0_p12-r0.apk                      26-Oct-2024 04:07      4M
java-jtharness-doc-6.0_p12-r0.apk                  26-Oct-2024 04:07     12K
java-jtharness-examples-6.0_p12-r0.apk             26-Oct-2024 04:07    219K
jbigkit-2.1-r2.apk                                 26-Oct-2024 04:07     62K
jbigkit-dev-2.1-r2.apk                             26-Oct-2024 04:07     28K
jbigkit-doc-2.1-r2.apk                             26-Oct-2024 04:07    7515
jdebp-redo-1.4-r1.apk                              26-Oct-2024 04:07     82K
jdebp-redo-doc-1.4-r1.apk                          26-Oct-2024 04:07     12K
jdupes-1.28.0-r0.apk                               26-Oct-2024 04:07     27K
jdupes-doc-1.28.0-r0.apk                           26-Oct-2024 04:07    9207
jedi-language-server-0.45.1-r0.apk                 11-May-2025 20:57     32K
jedi-language-server-pyc-0.45.1-r0.apk             11-May-2025 20:57     50K
jfrog-cli-2.45.0-r17.apk                           04-Dec-2025 22:54      9M
jhead-3.08-r0.apk                                  26-Oct-2024 04:07     31K
jhead-doc-3.08-r0.apk                              26-Oct-2024 04:07    8064
jotdown-0.7.0-r0.apk                               12-Mar-2025 05:12    199K
jrsonnet-cli-0.4.2-r1.apk                          26-Oct-2024 04:07    502K
jsmn-1.1.0-r2.apk                                  26-Oct-2024 04:07    4812
json2tsv-1.2-r0.apk                                26-Oct-2024 04:07    6332
json2tsv-doc-1.2-r0.apk                            26-Oct-2024 04:07    5370
json2tsv-jaq-1.2-r0.apk                            26-Oct-2024 04:07    1954
json2tsv-jaq-doc-1.2-r0.apk                        26-Oct-2024 04:07    2386
jsonnet-bundler-0.6.0-r10.apk                      04-Dec-2025 22:54      3M
jsonnet-language-server-0.16.0-r1.apk              04-Dec-2025 22:54      4M
junit2html-31.0.2-r0.apk                           26-Oct-2024 04:07     17K
junit2html-pyc-31.0.2-r0.apk                       26-Oct-2024 04:07     24K
jwt-cli-6.2.0-r0.apk                               15-Dec-2024 03:18    751K
k3sup-0.13.6-r10.apk                               04-Dec-2025 22:54      3M
k3sup-bash-completion-0.13.6-r10.apk               04-Dec-2025 22:54    5139
k3sup-fish-completion-0.13.6-r10.apk               04-Dec-2025 22:54    4375
k3sup-zsh-completion-0.13.6-r10.apk                04-Dec-2025 22:54    4094
kabmat-2.7.0-r0.apk                                26-Oct-2024 04:07     50K
kabmat-doc-2.7.0-r0.apk                            26-Oct-2024 04:07    3621
kapow-0.7.1-r18.apk                                04-Dec-2025 22:54      4M
katana-1.2.2-r3.apk                                04-Dec-2025 22:54     14M
katana-doc-1.2.2-r3.apk                            04-Dec-2025 22:54    2314
katarakt-0.2-r1.apk                                30-Jan-2025 04:44     74K
kbs2-0.7.3-r0.apk                                  30-Jul-2025 16:28      1M
kbs2-bash-completion-0.7.3-r0.apk                  30-Jul-2025 16:28    3312
kbs2-fish-completion-0.7.3-r0.apk                  30-Jul-2025 16:28    3527
kbs2-zsh-completion-0.7.3-r0.apk                   30-Jul-2025 16:28    4143
kcbench-0.9.13-r0.apk                              24-Oct-2025 05:58     37K
kcbench-doc-0.9.13-r0.apk                          24-Oct-2025 05:58     20K
kdiskmark-3.2.0-r0.apk                             02-Jul-2025 03:10    163K
kdiskmark-lang-3.2.0-r0.apk                        02-Jul-2025 03:10     32K
keepsecret-1.0.0-r0.apk                            16-Dec-2025 01:22    122K
keepsecret-lang-1.0.0-r0.apk                       16-Dec-2025 01:22     27K
kerberoast-0.2.0-r2.apk                            29-May-2025 20:58    9549
kerberoast-pyc-0.2.0-r2.apk                        29-May-2025 20:58     15K
kew-3.6.4-r0.apk                                   21-Oct-2025 14:32    462K
kew-doc-3.6.4-r0.apk                               21-Oct-2025 14:32    3721
keybase-client-6.2.8-r15.apk                       04-Dec-2025 22:54     18M
keystone-0.9.2-r6.apk                              26-Oct-2024 04:07      1M
keystone-dev-0.9.2-r6.apk                          26-Oct-2024 04:07    7478
keystone-python-0.9.2-r6.apk                       26-Oct-2024 04:07      2M
keystone-python-pyc-0.9.2-r6.apk                   26-Oct-2024 04:07    9904
kfc-0.1.4-r0.apk                                   26-Oct-2024 04:07     58K
khinsider-2.0.7-r25.apk                            04-Dec-2025 22:54      3M
khronos-4.0.1-r0.apk                               26-Oct-2024 04:07     47K
khronos-lang-4.0.1-r0.apk                          26-Oct-2024 04:07     26K
kile-3.0_beta4-r0.apk                              27-Oct-2025 23:06      2M
kile-doc-3.0_beta4-r0.apk                          27-Oct-2025 23:06      5M
kile-lang-3.0_beta4-r0.apk                         27-Oct-2025 23:06      3M
kimchi-3.0.0-r8.apk                                11-Feb-2025 06:12    529K
kimchi-lang-3.0.0-r8.apk                           11-Feb-2025 06:12    172K
kimchi-pyc-3.0.0-r8.apk                            11-Feb-2025 06:12    476K
kine-0.10.1-r18.apk                                04-Dec-2025 22:54      8M
kine-doc-0.10.1-r18.apk                            04-Dec-2025 22:54    5278
kirc-0.3.3-r0.apk                                  22-Feb-2025 21:34     14K
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 21:34    2848
kismet-0.202509.1-r0.apk                           09-Oct-2025 13:07     12M
kismet-linux-bluetooth-0.202509.1-r0.apk           09-Oct-2025 13:07     39K
kismet-linux-wifi-0.202509.1-r0.apk                09-Oct-2025 13:07     56K
kismet-logtools-0.202509.1-r0.apk                  09-Oct-2025 13:07    998K
kismet-nrf-51822-0.202509.1-r0.apk                 09-Oct-2025 13:07     37K
kismet-nxp-kw41z-0.202509.1-r0.apk                 09-Oct-2025 13:07     38K
kjv-0_git20221103-r0.apk                           26-Oct-2024 04:07      2M
klevernotes-1.1.0-r0.apk                           26-Oct-2024 04:07      2M
klevernotes-lang-1.1.0-r0.apk                      26-Oct-2024 04:07    142K
klong-20221212-r0.apk                              08-Jun-2025 00:16    326K
kmscon-9.1.0-r1.apk                                30-Oct-2025 18:26    825K
kmscon-doc-9.1.0-r1.apk                            30-Oct-2025 18:26    8599
kmscon-systemd-9.1.0-r1.apk                        30-Oct-2025 18:26    2764
knative-client-1.19.6-r1.apk                       04-Dec-2025 22:54     23M
knative-client-bash-completion-1.19.6-r1.apk       04-Dec-2025 22:54     10K
knative-client-fish-completion-1.19.6-r1.apk       04-Dec-2025 22:54    4412
knative-client-zsh-completion-1.19.6-r1.apk        04-Dec-2025 22:54    4130
knxd-0.14.61-r1.apk                                15-Dec-2024 04:23    350K
knxd-dev-0.14.61-r1.apk                            15-Dec-2024 04:23     22K
ko-0.17.1-r10.apk                                  04-Dec-2025 22:54     10M
ko-bash-completion-0.17.1-r10.apk                  04-Dec-2025 22:54    5164
ko-fish-completion-0.17.1-r10.apk                  04-Dec-2025 22:54    4398
ko-zsh-completion-0.17.1-r10.apk                   04-Dec-2025 22:54    4117
kodaskanna-0.2.2-r0.apk                            25-Jan-2025 16:04     46K
kodaskanna-lang-0.2.2-r0.apk                       25-Jan-2025 16:04     23K
kodi-audioencoder-flac-20.2.0-r1.apk               26-Oct-2024 04:07     42K
kodi-audioencoder-lame-20.3.0-r1.apk               26-Oct-2024 04:07     91K
kodi-audioencoder-vorbis-20.2.0-r1.apk             26-Oct-2024 04:07     34K
kodi-audioencoder-wav-20.2.0-r1.apk                26-Oct-2024 04:07     26K
kodi-game-libretro-20.1.0-r0.apk                   26-Oct-2024 04:07    109K
kodi-game-libretro-atari800-3.1.0.28-r0.apk        26-Oct-2024 04:07    241K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk   26-Oct-2024 04:07     15K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk    26-Oct-2024 04:07     15K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk     26-Oct-2024 04:07     17K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> 26-Oct-2024 04:07     21K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk    26-Oct-2024 04:07     54K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk     26-Oct-2024 04:07     64K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk  26-Oct-2024 04:07     20K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> 26-Oct-2024 04:07     20K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk      26-Oct-2024 04:07     16K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk   26-Oct-2024 04:07     17K
kodi-game-libretro-desmume-0.0.1.28-r0.apk         26-Oct-2024 04:07     64K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk         26-Oct-2024 04:07     74K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk           26-Oct-2024 04:07    180K
kodi-game-libretro-frodo-0.0.1.25-r0.apk           26-Oct-2024 04:07    160K
kodi-game-libretro-mame2000-0.37.0.32-r0.apk       26-Oct-2024 04:07    326K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk       26-Oct-2024 04:07      1M
kodi-game-libretro-mgba-0.11.0.44-r0.apk           26-Oct-2024 04:07     19K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk       26-Oct-2024 04:07     23K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk         26-Oct-2024 04:07     26K
kodi-game-libretro-theodore-0.0.1.32-r0.apk        26-Oct-2024 04:07     16K
kodi-inputstream-adaptive-21.5.9-r0.apk            03-Mar-2025 01:17    989K
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk        03-Mar-2025 01:17    331K
kodi-inputstream-rtmp-21.1.2-r0.apk                03-Mar-2025 01:17     84K
kodi-peripheral-joystick-20.1.9-r0.apk             26-Oct-2024 04:07    198K
kodi-pvr-hts-21.2.6-r0.apk                         03-Mar-2025 01:17    277K
kodi-pvr-iptvsimple-21.10.2-r0.apk                 03-Mar-2025 01:17    903K
kodi-vfs-libarchive-21.0.2-r0.apk                  03-Mar-2025 01:17    104K
kodi-vfs-rar-20.1.0-r1.apk                         26-Oct-2024 04:07    384K
kodi-vfs-sacd-20.1.0-r1.apk                        26-Oct-2024 04:07     86K
kodi-vfs-sftp-20.2.0-r1.apk                        26-Oct-2024 04:07     51K
komikku-1.85.0-r0.apk                              22-Aug-2025 17:07    444K
komikku-lang-1.85.0-r0.apk                         22-Aug-2025 17:07    284K
komikku-pyc-1.85.0-r0.apk                          22-Aug-2025 17:07    798K
kompose-1.31.2-r15.apk                             04-Dec-2025 22:54      7M
kompose-bash-completion-1.31.2-r15.apk             04-Dec-2025 22:54    5726
kompose-fish-completion-1.31.2-r15.apk             04-Dec-2025 22:54    4480
kompose-zsh-completion-1.31.2-r15.apk              04-Dec-2025 22:54    6945
kondo-0.8-r0.apk                                   26-Oct-2024 04:07    596K
kondo-bash-completion-0.8-r0.apk                   26-Oct-2024 04:07    2129
kondo-fish-completion-0.8-r0.apk                   26-Oct-2024 04:07    2121
kondo-zsh-completion-0.8-r0.apk                    26-Oct-2024 04:07    2494
kontainer-1.0.1-r0.apk                             20-Jun-2025 13:10    186K
kraftkit-0.12.3-r1.apk                             04-Dec-2025 22:54     20M
kraftkit-bash-completion-0.12.3-r1.apk             04-Dec-2025 22:54    6255
kraftkit-doc-0.12.3-r1.apk                         04-Dec-2025 22:54     73K
kraftkit-fish-completion-0.12.3-r1.apk             04-Dec-2025 22:54    4428
kraftkit-zsh-completion-0.12.3-r1.apk              04-Dec-2025 22:54    4143
kronosnet-1.30-r0.apk                              28-May-2025 08:07     72K
kronosnet-dev-1.30-r0.apk                          28-May-2025 08:07      2M
kronosnet-doc-1.30-r0.apk                          28-May-2025 08:07    106K
ktx-4.3.2-r1.apk                                   10-Jun-2025 11:49      1M
ktx-dev-4.3.2-r1.apk                               10-Jun-2025 11:49     29K
ktx-libs-4.3.2-r1.apk                              10-Jun-2025 11:49      1M
kube-no-trouble-0.7.3-r10.apk                      04-Dec-2025 22:54     13M
kubeconform-0.7.0-r3.apk                           04-Dec-2025 22:54      4M
kubectl-krew-0.4.5-r7.apk                          04-Dec-2025 22:54      5M
kubectl-oidc_login-1.34.2-r1.apk                   04-Dec-2025 22:54      5M
kubepug-1.7.1-r15.apk                              04-Dec-2025 22:54     16M
kubepug-bash-completion-1.7.1-r15.apk              04-Dec-2025 22:54    5250
kubepug-fish-completion-1.7.1-r15.apk              04-Dec-2025 22:54    4438
kubepug-zsh-completion-1.7.1-r15.apk               04-Dec-2025 22:54    4148
kubeseal-0.32.1-r2.apk                             04-Dec-2025 22:54     11M
kubeseal-doc-0.32.1-r2.apk                         04-Dec-2025 22:54    5656
kubesplit-0.3.3-r1.apk                             26-Oct-2024 04:07     13K
kubesplit-pyc-0.3.3-r1.apk                         26-Oct-2024 04:07     13K
lavacli-2.4-r0.apk                                 15-Jun-2025 13:00     49K
lavacli-doc-2.4-r0.apk                             15-Jun-2025 13:00     34K
lavacli-pyc-2.4-r0.apk                             15-Jun-2025 13:00     88K
laze-0.1.38-r0.apk                                 24-Jul-2025 22:42    984K
laze-bash-completion-0.1.38-r0.apk                 24-Jul-2025 22:42    3220
laze-doc-0.1.38-r0.apk                             24-Jul-2025 22:42    3686
laze-fish-completion-0.1.38-r0.apk                 24-Jul-2025 22:42    3523
laze-zsh-completion-0.1.38-r0.apk                  24-Jul-2025 22:42    3764
lbb-0.10.4-r1.apk                                  04-Dec-2025 22:54      3M
lbb-doc-0.10.4-r1.apk                              04-Dec-2025 22:54     20K
lcalc-2.1.0-r0.apk                                 11-May-2025 20:57    164K
lcalc-dev-2.1.0-r0.apk                             11-May-2025 20:57     51K
lcalc-doc-2.1.0-r0.apk                             11-May-2025 20:57    437K
lcalc-libs-2.1.0-r0.apk                            11-May-2025 20:57    232K
ldapdomaindump-0.10.0-r0.apk                       31-Jul-2025 04:18     18K
ldapdomaindump-pyc-0.10.0-r0.apk                   31-Jul-2025 04:18     30K
ledmon-1.0.0-r0.apk                                26-Oct-2024 04:07     69K
ledmon-doc-1.0.0-r0.apk                            26-Oct-2024 04:07     14K
lefthook-2.0.4-r1.apk                              04-Dec-2025 22:54      6M
lefthook-doc-2.0.4-r1.apk                          04-Dec-2025 22:54    2301
legume-1.4.2-r14.apk                               04-Dec-2025 22:54      1M
legume-doc-1.4.2-r14.apk                           04-Dec-2025 22:54     12K
leptosfmt-0.1.33-r0.apk                            26-Mar-2025 00:39    904K
leptosfmt-doc-0.1.33-r0.apk                        26-Mar-2025 00:39    6185
levmar-dev-2.6-r0.apk                              26-Oct-2024 04:07     43K
lfm-3.1-r4.apk                                     26-Oct-2024 04:07     88K
lfm-doc-3.1-r4.apk                                 26-Oct-2024 04:07    2829
lfm-pyc-3.1-r4.apk                                 26-Oct-2024 04:07    134K
lgogdownloader-3.16-r1.apk                         26-Mar-2025 00:39    329K
lgogdownloader-doc-3.16-r1.apk                     26-Mar-2025 00:39    8710
libabigail-2.8-r0.apk                              03-Nov-2025 04:50    878K
libabigail-bash-completion-2.8-r0.apk              03-Nov-2025 04:50    2891
libabigail-dev-2.8-r0.apk                          03-Nov-2025 04:50      2M
libabigail-doc-2.8-r0.apk                          03-Nov-2025 04:50     77K
libabigail-tools-2.8-r0.apk                        03-Nov-2025 04:50    109K
libandroidfw-0_git20251004-r0.apk                  08-Oct-2025 19:22    359K
libandroidfw-dev-0_git20251004-r0.apk              08-Oct-2025 19:22    7317
libantlr3c-3.4-r3.apk                              26-Oct-2024 04:07     35K
libantlr3c-dev-3.4-r3.apk                          26-Oct-2024 04:07     58K
libantlr4-4.13.2-r0.apk                            12-Dec-2024 21:29    368K
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 21:29    818K
libaudec-0.3.4-r3.apk                              26-Oct-2024 04:07     26K
libaudec-dev-0.3.4-r3.apk                          26-Oct-2024 04:07    4361
libaudec-static-0.3.4-r3.apk                       26-Oct-2024 04:07     28K
libaudec-tools-0.3.4-r3.apk                        26-Oct-2024 04:07     26K
libb64-2.0.0.1-r0.apk                              26-Oct-2024 04:07    4442
libb64-dev-2.0.0.1-r0.apk                          26-Oct-2024 04:07    5441
libb64-doc-2.0.0.1-r0.apk                          26-Oct-2024 04:07    8227
libbamf-0.5.6-r1.apk                               26-Oct-2024 04:07    126K
libbamf-dev-0.5.6-r1.apk                           26-Oct-2024 04:07    6547
libbamf-doc-0.5.6-r1.apk                           26-Oct-2024 04:07     31K
libblastrampoline-5.2.0-r0.apk                     26-Oct-2024 04:07    282K
libblastrampoline-dev-5.2.0-r0.apk                 26-Oct-2024 04:07     94K
libbraiding-1.3.1-r0.apk                           20-Nov-2024 11:43     74K
libbraiding-dev-1.3.1-r0.apk                       20-Nov-2024 11:43     15K
libbsoncxx-3.8.0-r0.apk                            26-Oct-2024 04:07     40K
libbsoncxx-dev-3.8.0-r0.apk                        26-Oct-2024 04:07     39K
libcec-rpi-6.0.2-r4.apk                            26-Oct-2024 04:07    159K
libcec-rpi-dev-6.0.2-r4.apk                        26-Oct-2024 04:07     25K
libcli-1.10.7-r0.apk                               26-Oct-2024 04:07     24K
libcob4-3.2-r0.apk                                 28-Jul-2025 23:02    187K
libcork-0.15.0-r7.apk                              26-Oct-2024 04:07     27K
libcork-dev-0.15.0-r7.apk                          26-Oct-2024 04:07     30K
libcork-tools-0.15.0-r7.apk                        26-Oct-2024 04:07    4505
libcorkipset-1.1.1-r4.apk                          26-Oct-2024 04:07     10K
libcorkipset-dev-1.1.1-r4.apk                      26-Oct-2024 04:07    8271
libcorkipset-tools-1.1.1-r4.apk                    26-Oct-2024 04:07     11K
libcotp-3.1.1-r0.apk                               04-Oct-2025 15:02    7799
libcotp-dev-3.1.1-r0.apk                           04-Oct-2025 15:02    2588
libcpdf-2.8.1-r0.apk                               11-May-2025 20:57      2M
libcpdf-dev-2.8.1-r0.apk                           11-May-2025 20:57     17K
libcpdf-static-2.8.1-r0.apk                        11-May-2025 20:57      3M
libctl-4.5.1-r1.apk                                26-Oct-2024 04:07     84K
libctl-dev-4.5.1-r1.apk                            26-Oct-2024 04:07     39K
libctl-doc-4.5.1-r1.apk                            26-Oct-2024 04:07    3090
libcyaml-1.4.2-r0.apk                              26-Oct-2024 04:07     17K
libcyaml-dev-1.4.2-r0.apk                          26-Oct-2024 04:07     13K
libcyaml-doc-1.4.2-r0.apk                          26-Oct-2024 04:07    8850
libcyaml-static-1.4.2-r0.apk                       26-Oct-2024 04:07     20K
libdbusaccess-1.0.20-r1.apk                        09-Aug-2025 09:22     14K
libdbusaccess-dev-1.0.20-r1.apk                    09-Aug-2025 09:22    5117
libdcmtk-3.6.9-r0.apk                              25-Jan-2025 16:04      6M
libdng-0.2.1-r0.apk                                28-Dec-2024 07:09     10K
libdng-dev-0.2.1-r0.apk                            28-Dec-2024 07:09    3274
libdng-doc-0.2.1-r0.apk                            28-Dec-2024 07:09    4300
libdng-utils-0.2.1-r0.apk                          28-Dec-2024 07:09    5807
libeantic-2.1.0-r2.apk                             26-Nov-2025 17:23     70K
libeantic-dev-2.1.0-r2.apk                         26-Nov-2025 17:23     18K
libecap-1.0.1-r1.apk                               26-Oct-2024 04:07     13K
libecap-dev-1.0.1-r1.apk                           26-Oct-2024 04:07     11K
libecap-static-1.0.1-r1.apk                        26-Oct-2024 04:07     18K
libemf2svg-1.1.0-r3.apk                            08-Oct-2025 19:22    130K
libemf2svg-utils-1.1.0-r3.apk                      08-Oct-2025 19:22     16K
liberasurecode-1.6.3-r1.apk                        26-Oct-2024 04:07     33K
liberasurecode-dev-1.6.3-r1.apk                    26-Oct-2024 04:07     18K
libetebase-0.5.8-r0.apk                            28-Sep-2025 04:40    753K
libetebase-dev-0.5.8-r0.apk                        28-Sep-2025 04:40     11K
libettercap-0.8.3.1-r3.apk                         26-Oct-2024 04:07    178K
libfishsound-1.0.0-r1.apk                          26-Oct-2024 04:07    7417
libfishsound-dev-1.0.0-r1.apk                      26-Oct-2024 04:07     53K
libfishsound-doc-1.0.0-r1.apk                      26-Oct-2024 04:07     75K
libfoma-0.10.0_git20240712-r0.apk                  26-Oct-2024 04:07     89K
libfort-0.4.2-r0.apk                               26-Oct-2024 04:07     26K
libfort-dev-0.4.2-r0.apk                           26-Oct-2024 04:07     17K
libgdcm-3.2.2-r3.apk                               02-Dec-2025 14:04      3M
libgivaro-4.2.0-r2.apk                             26-Oct-2024 04:07     71K
libgivaro-dev-4.2.0-r2.apk                         26-Oct-2024 04:07    244K
libgivaro-static-4.2.0-r2.apk                      26-Oct-2024 04:07     91K
libglib-testing-0.1.1-r0.apk                       11-May-2025 20:57     11K
libglib-testing-dev-0.1.1-r0.apk                   11-May-2025 20:57    5646
libglib-testing-doc-0.1.1-r0.apk                   11-May-2025 20:57     25K
libgrapheme-2.0.2-r0.apk                           24-Jul-2025 22:42     22K
libgrapheme-dev-2.0.2-r0.apk                       24-Jul-2025 22:42     29K
libgrapheme-doc-2.0.2-r0.apk                       24-Jul-2025 22:42     21K
libguestfs-1.56.1-r0.apk                           24-Jul-2025 22:42    324K
libguestfs-dev-1.56.1-r0.apk                       24-Jul-2025 22:42     29K
libguestfs-doc-1.56.1-r0.apk                       24-Jul-2025 22:42    569K
libguestfs-static-1.56.1-r0.apk                    24-Jul-2025 22:42    455K
libhomfly-1.02_p6-r1.apk                           26-Oct-2024 04:07     13K
libhomfly-dev-1.02_p6-r1.apk                       26-Oct-2024 04:07     15K
libhwpwm-0.4.4-r0.apk                              26-Oct-2024 04:07    5934
libhwpwm-dev-0.4.4-r0.apk                          26-Oct-2024 04:07    5309
libhwpwm-doc-0.4.4-r0.apk                          26-Oct-2024 04:07     13K
libideviceactivation-1.1.1-r5.apk                  31-Oct-2024 07:44     15K
libideviceactivation-dev-1.1.1-r5.apk              31-Oct-2024 07:44    3391
libideviceactivation-doc-1.1.1-r5.apk              31-Oct-2024 07:44    2229
libigraph-1.0.0-r0.apk                             28-Oct-2025 11:16      2M
libigraph-dev-1.0.0-r0.apk                         28-Oct-2025 11:16     90K
libiio-0.25-r2.apk                                 26-Oct-2024 04:07     43K
libiio-dev-0.25-r2.apk                             26-Oct-2024 04:07     13K
libiio-doc-0.25-r2.apk                             26-Oct-2024 04:07     18K
libiio-pyc-0.25-r2.apk                             26-Oct-2024 04:07     21K
libiio-tools-0.25-r2.apk                           26-Oct-2024 04:07     66K
libinfnoise-0.3.3-r0.apk                           26-May-2025 17:58     13K
libirecovery-1.3.0-r0.apk                          08-Oct-2025 19:22     24K
libirecovery-dev-1.3.0-r0.apk                      08-Oct-2025 19:22    4256
libirecovery-progs-1.3.0-r0.apk                    08-Oct-2025 19:22    8004
libiscsi-1.19.0-r2.apk                             26-Oct-2024 04:07     52K
libiscsi-dev-1.19.0-r2.apk                         26-Oct-2024 04:07     20K
libiscsi-doc-1.19.0-r2.apk                         26-Oct-2024 04:07    9505
libiscsi-static-1.19.0-r2.apk                      26-Oct-2024 04:07     65K
libiscsi-utils-1.19.0-r2.apk                       26-Oct-2024 04:07     76K
libjodycode-3.1.1-r0.apk                           26-Oct-2024 04:07    7127
libjodycode-dev-3.1.1-r0.apk                       26-Oct-2024 04:07    4312
libjodycode-doc-3.1.1-r0.apk                       26-Oct-2024 04:07    3753
liblastfm-qt-1.1.10_git20190823-r3.apk             26-Oct-2024 04:07    145K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         26-Oct-2024 04:07     20K
libm4ri-20240729-r2.apk                            16-Jan-2025 03:06    120K
libm4ri-dev-20240729-r2.apk                        16-Jan-2025 03:06     32K
libm4ri-static-20240729-r2.apk                     16-Jan-2025 03:06    128K
libm4rie-20200125-r5.apk                           16-Jan-2025 03:06    206K
libm4rie-dev-20200125-r5.apk                       16-Jan-2025 03:06     24K
libm4rie-static-20200125-r5.apk                    16-Jan-2025 03:06    222K
libmdbx-0.11.8-r0.apk                              26-Oct-2024 04:07    640K
libmdbx-dbg-0.11.8-r0.apk                          26-Oct-2024 04:07      2M
libmdbx-dev-0.11.8-r0.apk                          26-Oct-2024 04:07     93K
libmdbx-doc-0.11.8-r0.apk                          26-Oct-2024 04:07    9063
libmdf-1.0.29-r0.apk                               26-Oct-2024 04:07     31K
libmdf-dev-1.0.29-r0.apk                           26-Oct-2024 04:07     14K
libmhash-0.9.9.9-r3.apk                            26-Oct-2024 04:07     97K
libmhash-dev-0.9.9.9-r3.apk                        26-Oct-2024 04:07    110K
libmhash-doc-0.9.9.9-r3.apk                        26-Oct-2024 04:07    8342
libmpfi-1.5.4-r2.apk                               26-Oct-2024 04:07     27K
libmpfi-dev-1.5.4-r2.apk                           26-Oct-2024 04:07    5488
libmpfi-doc-1.5.4-r2.apk                           26-Oct-2024 04:07     19K
libmpfi-static-1.5.4-r2.apk                        26-Oct-2024 04:07     40K
libmrss-0.19.2-r1.apk                              26-Oct-2024 04:07     17K
libmrss-dev-0.19.2-r1.apk                          26-Oct-2024 04:07     28K
libmustache-0.5.0-r1.apk                           26-Oct-2024 04:07     76K
libmygpo-qt-1.1.0-r2.apk                           26-Oct-2024 04:07     66K
libmygpo-qt-dev-1.1.0-r2.apk                       26-Oct-2024 04:07     12K
libmysofa-1.3.2-r0.apk                             26-Oct-2024 04:07     23K
libmysofa-dev-1.3.2-r0.apk                         26-Oct-2024 04:07    7160
libmysofa-tools-1.3.2-r0.apk                       26-Oct-2024 04:07      1M
libnest2d-0.4-r7.apk                               06-Feb-2025 13:42    1244
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 13:42     70K
libnfcdef-1.0.1-r1.apk                             09-Aug-2025 09:22     11K
libnfcdef-dev-1.0.1-r1.apk                         09-Aug-2025 09:22    5870
libnih-1.0.3-r7.apk                                26-Oct-2024 04:07    109K
libnih-dev-1.0.3-r7.apk                            26-Oct-2024 04:07    112K
libnih-doc-1.0.3-r7.apk                            26-Oct-2024 04:07    2771
libntl-11.6.0-r0.apk                               08-Nov-2025 16:14    967K
libntl-dev-11.6.0-r0.apk                           08-Nov-2025 16:14    157K
libntl-doc-11.6.0-r0.apk                           08-Nov-2025 16:14    366K
libntl-static-11.6.0-r0.apk                        08-Nov-2025 16:14      1M
libnxml-0.18.3-r0.apk                              26-Oct-2024 04:07     17K
libnxml-dev-0.18.3-r0.apk                          26-Oct-2024 04:07     26K
libofx-0.10.9-r1.apk                               26-Oct-2024 04:07     59K
libofx-dev-0.10.9-r1.apk                           26-Oct-2024 04:07     20K
libofx-tools-0.10.9-r1.apk                         26-Oct-2024 04:07    101K
liboggz-1.1.1-r2.apk                               26-Oct-2024 04:07    111K
liboggz-dev-1.1.1-r2.apk                           26-Oct-2024 04:07    154K
liboggz-doc-1.1.1-r2.apk                           26-Oct-2024 04:07    134K
libopensles-standalone-0_git20250913-r0.apk        22-Sep-2025 23:14     34K
libopensles-standalone-dbg-0_git20250913-r0.apk    22-Sep-2025 23:14    243K
libopensles-standalone-dev-0_git20250913-r0.apk    22-Sep-2025 23:14    1621
libqd-2.3.24-r0.apk                                26-Oct-2024 04:07    142K
libqd-dev-2.3.24-r0.apk                            26-Oct-2024 04:07     58K
libqd-doc-2.3.24-r0.apk                            26-Oct-2024 04:07    182K
libqd-static-2.3.24-r0.apk                         26-Oct-2024 04:07    230K
libqofono-0.124-r0.apk                             11-Jan-2025 00:33    1248
libqofono-dev-0.124-r0.apk                         11-Jan-2025 00:33     42K
libqofono-qt5-0.124-r0.apk                         11-Jan-2025 00:33    263K
libqofono-qt6-0.124-r0.apk                         11-Jan-2025 00:33    356K
libqtdbusmock-0.9.1-r2.apk                         22-Feb-2025 21:17     62K
libqtdbustest-0.4.0-r0.apk                         09-Sep-2025 22:17     27K
libre-4.1.0-r0.apk                                 03-Oct-2025 16:59    233K
libre-dev-4.1.0-r0.apk                             03-Oct-2025 16:59    402K
libreoffice-voikko-5.0_git20200127-r0.apk          26-Oct-2024 04:07     45K
librespot-0.8.0-r0.apk                             20-Nov-2025 12:26      2M
librespot-openrc-0.8.0-r0.apk                      20-Nov-2025 12:26    1913
libresprite-1.2-r0.apk                             14-Apr-2025 07:16     14M
libresprite-doc-1.2-r0.apk                         14-Apr-2025 07:16     15K
libretro-atari800-0_git20240924-r0.apk             26-Oct-2024 04:07    250K
libretro-beetle-pce-fast-0_git20220205-r0.apk      26-Oct-2024 04:07    309K
libretro-beetle-pcfx-0_git20220409-r0.apk          26-Oct-2024 04:07    261K
libretro-beetle-saturn-0_git20220417-r0.apk        26-Oct-2024 04:07      1M
libretro-beetle-supergrafx-0_git20220218-r0.apk    26-Oct-2024 04:07    318K
libretro-bluemsx-0_git20240808-r0.apk              26-Oct-2024 04:07    561K
libretro-cannonball-0_git20220309-r6.apk           26-Oct-2024 04:07    197K
libretro-cap32-0_git20220419-r0.apk                26-Oct-2024 04:07    286K
libretro-crocods-0_git20210314-r1.apk              26-Oct-2024 04:07    250K
libretro-daphne-0_git20210108-r2.apk               26-Oct-2024 04:07    516K
libretro-dinothawr-0_git20220401-r0.apk            26-Oct-2024 04:07    109K
libretro-fbneo-0_git20220416-r0.apk                26-Oct-2024 04:07      9M
libretro-freeintv-0_git20220319-r0.apk             26-Oct-2024 04:07     30K
libretro-frodo-0_git20221221-r0.apk                26-Oct-2024 04:07    140K
libretro-fuse-0_git20220417-r0.apk                 26-Oct-2024 04:07    771K
libretro-genesis-plus-gx-0_git20230503-r0.apk      26-Oct-2024 04:07    796K
libretro-gme-0_git20240628-r0.apk                  26-Oct-2024 04:07    160K
libretro-gong-0_git20220319-r0.apk                 26-Oct-2024 04:07    7941
libretro-gw-0_git20220410-r0.apk                   26-Oct-2024 04:07    150K
libretro-mame2000-0_git20240701-r0.apk             26-Oct-2024 04:07      2M
libretro-mame2003-0_git20240904-r0.apk             26-Oct-2024 04:07      6M
libretro-mu-0_git20220317-r0.apk                   26-Oct-2024 04:07    131K
libretro-neocd-0_git20220325-r1.apk                26-Oct-2024 04:07    361K
libretro-nxengine-0_git20220301-r0.apk             26-Oct-2024 04:07    249K
libretro-openlara-0_git20210121-r0.apk             26-Oct-2024 04:07    420K
libretro-opera-0_git20211214-r0.apk                26-Oct-2024 04:07    149K
libretro-parallel-n64-0_git20220406-r0.apk         26-Oct-2024 04:07    805K
libretro-picodrive-0_git20220405-r0.apk            26-Oct-2024 04:07    408K
libretro-pocketcdg-0_git20220327-r0.apk            26-Oct-2024 04:07     79K
libretro-ppsspp-0_git20210516-r15.apk              12-Apr-2025 03:19      2M
libretro-scummvm-0_git20210325-r0.apk              26-Oct-2024 04:07     16M
libretro-snes9x-0_git20240819-r0.apk               26-Oct-2024 04:07    635K
libretro-theodore-3.1-r0.apk                       26-Oct-2024 04:07    866K
libretro-tyrquake-0_git20220409-r0.apk             26-Oct-2024 04:07    339K
libretro-xrick-0_git20220331-r0.apk                26-Oct-2024 04:07    107K
libsbsms-2.3.0-r0.apk                              26-Oct-2024 04:07     91K
libsbsms-dev-2.3.0-r0.apk                          26-Oct-2024 04:07    122K
libsds-2.0.0-r1.apk                                26-Oct-2024 04:07    8637
libsds-dev-2.0.0-r1.apk                            26-Oct-2024 04:07    3869
libsemanage-3.6-r1.apk                             26-Oct-2024 04:07     83K
libsemanage-dev-3.6-r1.apk                         26-Oct-2024 04:07    129K
libsemanage-doc-3.6-r1.apk                         26-Oct-2024 04:07     23K
libsemigroups-2.7.3-r1.apk                         15-Dec-2024 04:23    558K
libsemigroups-dev-2.7.3-r1.apk                     15-Dec-2024 04:23    335K
libsemigroups-static-2.7.3-r1.apk                  15-Dec-2024 04:23      1M
libserialport-0.1.1-r1.apk                         26-Oct-2024 04:07     20K
libserialport-dev-0.1.1-r1.apk                     26-Oct-2024 04:07     37K
libsigrok-0.5.2-r3.apk                             26-Oct-2024 04:07    438K
libsigrok-dev-0.5.2-r3.apk                         26-Oct-2024 04:07     31K
libsigrokdecode-0.5.3-r4.apk                       26-Oct-2024 04:07    332K
libsigrokdecode-dev-0.5.3-r4.apk                   26-Oct-2024 04:07     35K
libsimpleble-0.10.3-r0.apk                         15-Jul-2025 19:28    171K
libsimpleble-c-0.10.3-r0.apk                       15-Jul-2025 19:28     14K
libsimplebluez-0.10.3-r0.apk                       15-Jul-2025 19:28    123K
libsirocco-2.1.1-r0.apk                            01-Sep-2025 02:16     53K
libsirocco-dev-2.1.1-r0.apk                        01-Sep-2025 02:16    2250
libspatialindex-2.1.0-r1.apk                       10-Nov-2025 14:05    286K
libspatialindex-dev-2.1.0-r1.apk                   10-Nov-2025 14:05     21K
libstirshaken-0_git20240208-r4.apk                 11-May-2025 20:57     48K
libstirshaken-dev-0_git20240208-r4.apk             11-May-2025 20:57     77K
libstirshaken-tools-0_git20240208-r4.apk           11-May-2025 20:57    144K
libtatsu-1.0.5-r0.apk                              08-Oct-2025 19:22     15K
libtatsu-dev-1.0.5-r0.apk                          08-Oct-2025 19:22     22K
libtins-4.5-r2.apk                                 15-Oct-2025 17:21    263K
libtins-dev-4.5-r2.apk                             15-Oct-2025 17:21    138K
libtins-doc-4.5-r2.apk                             15-Oct-2025 17:21    2385
libtsm-4.1.0-r0.apk                                08-Jul-2025 14:58     23K
libtsm-dev-4.1.0-r0.apk                            08-Jul-2025 14:58     11K
libucl-0.9.0-r0.apk                                26-Oct-2024 04:07     46K
libucl-dev-0.9.0-r0.apk                            26-Oct-2024 04:07     71K
libucl-doc-0.9.0-r0.apk                            26-Oct-2024 04:07    9050
libuecc-7-r4.apk                                   04-Mar-2025 01:22    8538
libuecc-dev-7-r4.apk                               04-Mar-2025 01:22    4745
libuninameslist-20230916-r0.apk                    26-Oct-2024 04:07    425K
libuninameslist-dev-20230916-r0.apk                26-Oct-2024 04:07    3529
libuninameslist-doc-20230916-r0.apk                26-Oct-2024 04:07    2070
libupstart-2.0.3-r5.apk                            26-Oct-2024 04:07     63K
libvalkey-0.2.1-r0.apk                             18-Nov-2025 00:33     50K
libvalkey-dev-0.2.1-r0.apk                         18-Nov-2025 00:33    301K
libvalkey-tls-0.2.1-r0.apk                         18-Nov-2025 00:33    5844
libvdpau-tegra-0_git20210517-r0.apk                05-Dec-2025 21:44     52K
libvdpau-tegra-udev-0_git20210517-r0.apk           05-Dec-2025 21:44    1739
libvdpau-va-gl-0.4.2-r0.apk                        26-Oct-2024 04:07     47K
libvisio2svg-0.5.5-r3.apk                          26-Oct-2024 04:07     13K
libvisio2svg-dev-0.5.5-r3.apk                      26-Oct-2024 04:07    2989
libvisio2svg-utils-0.5.5-r3.apk                    26-Oct-2024 04:07    101K
libvmaf-3.0.0-r0.apk                               26-Oct-2024 04:07    305K
libvmaf-dev-3.0.0-r0.apk                           26-Oct-2024 04:07    181K
libvoikko-4.3.2-r1.apk                             26-Oct-2024 04:07    108K
libvoikko-dev-4.3.2-r1.apk                         26-Oct-2024 04:07     10K
libvoikko-doc-4.3.2-r1.apk                         26-Oct-2024 04:07    5862
libwbxml-0.11.8-r0.apk                             26-Oct-2024 04:07     58K
libwbxml-dev-0.11.8-r0.apk                         26-Oct-2024 04:07    9175
libwbxml-doc-0.11.8-r0.apk                         26-Oct-2024 04:07     28K
libwhich-1.2.0-r0.apk                              26-Oct-2024 04:07    4581
libwmiclient-1.3.16-r5.apk                         26-Oct-2024 04:07    1485
libwmiclient-dev-1.3.16-r5.apk                     26-Oct-2024 04:07    1733
libxml++-5.4.0-r0.apk                              13-Feb-2025 16:45     58K
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 16:45     29K
libxo-1.7.5-r0.apk                                 13-Jan-2025 07:45    170K
libxo-dev-1.7.5-r0.apk                             13-Jan-2025 07:45     67K
libxo-doc-1.7.5-r0.apk                             13-Jan-2025 07:45     63K
libzrtpcpp-4.7.0-r0.apk                            05-Jan-2025 06:55    154K
libzrtpcpp-dev-4.7.0-r0.apk                        05-Jan-2025 06:55     38K
libzvbi-0.2.44-r0.apk                              12-Mar-2025 05:40    203K
libzvbi-dev-0.2.44-r0.apk                          12-Mar-2025 05:40     14K
libzvbi-static-0.2.44-r0.apk                       12-Mar-2025 05:40    256K
licenseheaders-0.8.8-r4.apk                        26-Oct-2024 04:07     18K
licenseheaders-pyc-0.8.8-r4.apk                    26-Oct-2024 04:07     18K
lidarr-3.1.0.4875-r0.apk                           14-Dec-2025 02:30     20M
lidarr-openrc-3.1.0.4875-r0.apk                    14-Dec-2025 02:30    2089
limnoria-20240828-r0.apk                           26-Oct-2024 04:07      1M
limnoria-doc-20240828-r0.apk                       26-Oct-2024 04:07    8602
limnoria-pyc-20240828-r0.apk                       26-Oct-2024 04:07      1M
linkchecker-10.6.0-r0.apk                          10-Aug-2025 20:39    181K
linkchecker-doc-10.6.0-r0.apk                      10-Aug-2025 20:39     39K
linkchecker-pyc-10.6.0-r0.apk                      10-Aug-2025 20:39    254K
linkquisition-1.6.1-r10.apk                        04-Dec-2025 22:54     12M
linphone-5.3.38-r0.apk                             26-Oct-2024 04:07      9M
linphone-dev-5.3.38-r0.apk                         26-Oct-2024 04:07    250K
linphone-libs-5.3.38-r0.apk                        26-Oct-2024 04:07      2M
linux-apfs-rw-src-0.3.8-r0.apk                     26-Oct-2024 04:07    197K
linux-gpib-4.3.7-r0.apk                            07-Dec-2025 08:07     54K
linux-gpib-dev-4.3.7-r0.apk                        07-Dec-2025 08:07    7849
linux-gpib-doc-4.3.7-r0.apk                        07-Dec-2025 08:07    326K
linux-gpib-udev-4.3.7-r0.apk                       07-Dec-2025 08:07    2689
linux-timemachine-1.3.2-r0.apk                     26-Oct-2024 04:07    5176
linuxptp-4.4-r0.apk                                20-Nov-2024 11:43    1248
linuxptp-doc-4.4-r0.apk                            20-Nov-2024 11:43     38K
linuxptp-hwstamp_ctl-4.4-r0.apk                    20-Nov-2024 11:43    4313
linuxptp-nsm-4.4-r0.apk                            20-Nov-2024 11:43     31K
linuxptp-phc2sys-4.4-r0.apk                        20-Nov-2024 11:43     35K
linuxptp-phc_ctl-4.4-r0.apk                        20-Nov-2024 11:43     10K
linuxptp-pmc-4.4-r0.apk                            20-Nov-2024 11:43     33K
linuxptp-ptp4l-4.4-r0.apk                          20-Nov-2024 11:43     73K
linuxptp-timemaster-4.4-r0.apk                     20-Nov-2024 11:43     14K
linuxptp-ts2phc-4.4-r0.apk                         20-Nov-2024 11:43     35K
linuxptp-tz2alt-4.4-r0.apk                         20-Nov-2024 11:43     18K
liquibase-4.9.1-r0.apk                             26-Oct-2024 04:07     32M
liquibase-doc-4.9.1-r0.apk                         26-Oct-2024 04:07     57K
litehtml-0.9-r2.apk                                22-Feb-2025 21:17    260K
litehtml-dev-0.9-r2.apk                            22-Feb-2025 21:17     42K
litehtml-static-0.9-r2.apk                         22-Feb-2025 21:17    456K
litterbox-1.9-r2.apk                               13-Sep-2025 10:00     27K
litterbox-doc-1.9-r2.apk                           13-Sep-2025 10:00    7378
lizardfs-3.13.0-r17.apk                            20-Jun-2025 13:10     96K
lizardfs-bash-completion-3.13.0-r17.apk            20-Jun-2025 13:10    1931
lizardfs-cgi-3.13.0-r17.apk                        20-Jun-2025 13:10     31K
lizardfs-cgiserv-3.13.0-r17.apk                    20-Jun-2025 13:10    7542
lizardfs-cgiserv-openrc-3.13.0-r17.apk             20-Jun-2025 13:10    2020
lizardfs-chunkserver-3.13.0-r17.apk                20-Jun-2025 13:10    270K
lizardfs-chunkserver-openrc-3.13.0-r17.apk         20-Jun-2025 13:10    1697
lizardfs-client-3.13.0-r17.apk                     20-Jun-2025 13:10    925K
lizardfs-doc-3.13.0-r17.apk                        20-Jun-2025 13:10     11K
lizardfs-master-3.13.0-r17.apk                     20-Jun-2025 13:10    702K
lizardfs-master-openrc-3.13.0-r17.apk              20-Jun-2025 13:10    1685
lizardfs-metalogger-3.13.0-r17.apk                 20-Jun-2025 13:10    112K
lizardfs-metalogger-openrc-3.13.0-r17.apk          20-Jun-2025 13:10    1688
lkrg-0.9.6-r1.apk                                  09-Jan-2025 20:44    105K
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 20:44     22K
llmnrd-0.7-r1.apk                                  26-Oct-2024 04:07     16K
llmnrd-doc-0.7-r1.apk                              26-Oct-2024 04:07    3109
llmnrd-openrc-0.7-r1.apk                           26-Oct-2024 04:07    1935
lockrun-1.1.3-r1.apk                               26-Oct-2024 04:07    5248
log4cpp-1.1.4-r1.apk                               26-Oct-2024 04:07     65K
log4cpp-dev-1.1.4-r1.apk                           26-Oct-2024 04:07     39K
log4cxx-1.1.0-r3.apk                               22-Feb-2025 21:17    449K
log4cxx-dev-1.1.0-r3.apk                           22-Feb-2025 21:17    135K
logc-0.5.0-r1.apk                                  13-Jun-2025 23:11    6956
logc-argp-0.5.0-r1.apk                             13-Jun-2025 23:11     14K
logc-config-0.5.0-r1.apk                           13-Jun-2025 23:11    4767
logc-czmq-0.1.0-r0.apk                             26-Oct-2024 04:07    3864
logc-dev-0.5.0-r1.apk                              13-Jun-2025 23:11    8796
logc-libevent-0.1.0-r0.apk                         26-Oct-2024 04:07    3324
logc-libs-0.1.0-r0.apk                             26-Oct-2024 04:07    1470
logc-libs-dev-0.1.0-r0.apk                         26-Oct-2024 04:07    5361
logtop-0.7-r1.apk                                  09-Aug-2025 09:22     11K
logtop-doc-0.7-r1.apk                              09-Aug-2025 09:22    2916
logtop-libs-0.7-r1.apk                             09-Aug-2025 09:22     12K
lol-html-1.1.1-r1.apk                              26-Oct-2024 04:07    397K
lol-html-dev-1.1.1-r1.apk                          26-Oct-2024 04:07    6673
lolcat-1.4-r0.apk                                  26-Oct-2024 04:07    9522
lomiri-0.5.0-r4.apk                                18-Dec-2025 23:17      4M
lomiri-action-api-1.2.1-r0.apk                     26-Oct-2025 05:19     69K
lomiri-action-api-dev-1.2.1-r0.apk                 26-Oct-2025 05:19    5076
lomiri-api-0.2.3-r0.apk                            26-Oct-2025 05:19     29K
lomiri-api-dev-0.2.3-r0.apk                        26-Oct-2025 05:19     32K
lomiri-app-launch-0.1.12-r5.apk                    18-Dec-2025 23:17    287K
lomiri-app-launch-dev-0.1.12-r5.apk                18-Dec-2025 23:17     20K
lomiri-calculator-app-4.1.0-r0.apk                 20-Aug-2025 05:07    381K
lomiri-calculator-app-lang-4.1.0-r0.apk            20-Aug-2025 05:07     41K
lomiri-clock-app-4.1.1-r0.apk                      11-May-2025 20:57    213K
lomiri-clock-app-lang-4.1.1-r0.apk                 11-May-2025 20:57    456K
lomiri-content-hub-2.2.1-r0.apk                    11-Dec-2025 02:06    252K
lomiri-content-hub-dev-2.2.1-r0.apk                11-Dec-2025 02:06     11K
lomiri-content-hub-doc-2.2.1-r0.apk                11-Dec-2025 02:06      1M
lomiri-content-hub-lang-2.2.1-r0.apk               11-Dec-2025 02:06     47K
lomiri-docviewer-app-3.1.2-r0.apk                  20-Aug-2025 05:08    211K
lomiri-docviewer-app-doc-3.1.2-r0.apk              20-Aug-2025 05:08    2072
lomiri-docviewer-app-lang-3.1.2-r0.apk             20-Aug-2025 05:08    138K
lomiri-download-manager-0.3.0-r0.apk               11-Dec-2025 02:06    511K
lomiri-download-manager-dev-0.3.0-r0.apk           11-Dec-2025 02:06     17K
lomiri-download-manager-doc-0.3.0-r0.apk           11-Dec-2025 02:06      3M
lomiri-download-manager-lang-0.3.0-r0.apk          11-Dec-2025 02:06     30K
lomiri-filemanager-app-1.1.4-r0.apk                20-Aug-2025 05:07    310K
lomiri-filemanager-app-lang-1.1.4-r0.apk           20-Aug-2025 05:07    180K
lomiri-gallery-app-3.0.2-r2.apk                    19-Aug-2025 17:53      4M
lomiri-gallery-app-lang-3.0.2-r2.apk               19-Aug-2025 17:53    106K
lomiri-history-service-0.6-r20.apk                 19-Dec-2025 20:23    311K
lomiri-history-service-dev-0.6-r20.apk             19-Dec-2025 20:23     11K
lomiri-indicator-location-25.4.22-r1.apk           18-Nov-2025 00:33     19K
lomiri-indicator-location-lang-25.4.22-r1.apk      18-Nov-2025 00:33     27K
lomiri-indicator-location-systemd-25.4.22-r1.apk   18-Nov-2025 00:33    1822
lomiri-indicator-network-1.1.2-r0.apk              09-Sep-2025 22:17    537K
lomiri-indicator-network-dev-1.1.2-r0.apk          09-Sep-2025 22:17    9760
lomiri-indicator-network-doc-1.1.2-r0.apk          09-Sep-2025 22:17    2109
lomiri-indicator-network-lang-1.1.2-r0.apk         09-Sep-2025 22:17    199K
lomiri-lang-0.5.0-r4.apk                           18-Dec-2025 23:17    269K
lomiri-libusermetrics-1.4.0-r0.apk                 11-Dec-2025 02:06    184K
lomiri-libusermetrics-dev-1.4.0-r0.apk             11-Dec-2025 02:06    8037
lomiri-libusermetrics-doc-1.4.0-r0.apk             11-Dec-2025 02:06    228K
lomiri-libusermetrics-lang-1.4.0-r0.apk            11-Dec-2025 02:06     45K
lomiri-location-service-3.3.0-r5.apk               18-Nov-2025 00:33      2M
lomiri-location-service-dev-3.3.0-r5.apk           18-Nov-2025 00:33     30K
lomiri-location-service-doc-3.3.0-r5.apk           18-Nov-2025 00:33    2947
lomiri-location-service-lang-3.3.0-r5.apk          18-Nov-2025 00:33     26K
lomiri-location-service-systemd-3.3.0-r5.apk       18-Nov-2025 00:33    2187
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 19:07     84K
lomiri-schemas-0.1.9-r0.apk                        02-Oct-2025 02:03     10K
lomiri-settings-components-1.1.3-r0.apk            26-Oct-2025 05:19    216K
lomiri-settings-components-lang-1.1.3-r0.apk       26-Oct-2025 05:19    108K
lomiri-sounds-25.01-r0.apk                         20-Aug-2025 05:07     18M
lomiri-system-settings-1.3.2-r0.apk                11-May-2025 20:57    998K
lomiri-system-settings-lang-1.3.2-r0.apk           11-May-2025 20:57    846K
lomiri-telephony-service-0.6.2-r1.apk              19-Dec-2025 20:23    891K
lomiri-telephony-service-lang-0.6.2-r1.apk         19-Dec-2025 20:23    109K
lomiri-terminal-app-2.0.5-r0.apk                   11-May-2025 20:57     62K
lomiri-terminal-app-doc-2.0.5-r0.apk               11-May-2025 20:57    2728
lomiri-terminal-app-lang-2.0.5-r0.apk              11-May-2025 20:57     91K
lomiri-thumbnailer-3.1.0-r0.apk                    11-Dec-2025 02:06    191K
lomiri-thumbnailer-dev-3.1.0-r0.apk                11-Dec-2025 02:06    5223
lomiri-thumbnailer-doc-3.1.0-r0.apk                11-Dec-2025 02:06    124K
lomiri-trust-store-2.0.2-r14.apk                   18-Dec-2025 23:17    843K
lomiri-trust-store-dev-2.0.2-r14.apk               18-Dec-2025 23:17    9352
lomiri-trust-store-lang-2.0.2-r14.apk              18-Dec-2025 23:17     28K
lomiri-ui-extras-0.8.0-r0.apk                      11-Dec-2025 02:06    230K
lomiri-ui-extras-lang-0.8.0-r0.apk                 11-Dec-2025 02:06     52K
lomiri-ui-toolkit-1.3.5110-r5.apk                  18-Dec-2025 23:17      1M
lomiri-ui-toolkit-dev-1.3.5110-r5.apk              18-Dec-2025 23:17    168K
lomiri-ui-toolkit-lang-1.3.5110-r5.apk             18-Dec-2025 23:17     97K
lomiri-url-dispatcher-0.1.4-r0.apk                 11-May-2025 20:57     34K
lomiri-url-dispatcher-dev-0.1.4-r0.apk             11-May-2025 20:57    3389
lomiri-url-dispatcher-lang-0.1.4-r0.apk            11-May-2025 20:57     26K
lomiri-weather-app-6.0.2-r0.apk                    11-Mar-2025 21:02    295K
lomiri-weather-app-lang-6.0.2-r0.apk               11-Mar-2025 21:02    214K
lotide-0.15.0-r0.apk                               26-Oct-2024 04:07      3M
lotide-openrc-0.15.0-r0.apk                        26-Oct-2024 04:07    3170
lout-3.42.2-r0.apk                                 26-Oct-2024 04:07      1M
lout-doc-3.42.2-r0.apk                             26-Oct-2024 04:07    453K
lowjs-1.6.2-r2.apk                                 26-Oct-2024 04:07      1M
lowjs-doc-1.6.2-r2.apk                             26-Oct-2024 04:07    3040
lrcalc-2.1-r1.apk                                  26-Oct-2024 04:07     10K
lrcalc-dev-2.1-r1.apk                              26-Oct-2024 04:07     11K
lrcalc-libs-2.1-r1.apk                             26-Oct-2024 04:07     21K
lsdvd-0.17-r0.apk                                  26-Oct-2024 04:07     12K
lsdvd-doc-0.17-r0.apk                              26-Oct-2024 04:07    2521
lshell-0.9.18-r12.apk                              09-Sep-2025 22:17     36K
lshell-doc-0.9.18-r12.apk                          09-Sep-2025 22:17     25K
lshell-pyc-0.9.18-r12.apk                          09-Sep-2025 22:17     35K
lsix-1.8.2-r0.apk                                  26-Oct-2024 04:07    6640
lspmux-0.3.0-r0.apk                                05-Nov-2025 23:23    897K
lspmux-doc-0.3.0-r0.apk                            05-Nov-2025 23:23    6788
lua-editorconfig-0.3.0-r0.apk                      26-Oct-2024 04:07    1229
lua-fn-0.1.0-r0.apk                                26-Oct-2024 04:07    3459
lua-inet-0.2.0-r1.apk                              26-Oct-2024 04:07    9348
lua-lcurses-9.0.0-r0.apk                           26-Oct-2024 04:07    1215
lua-libmodbus-0.6.1-r0.apk                         26-Oct-2024 04:07    1210
lua-libmodbus-doc-0.6.1-r0.apk                     26-Oct-2024 04:07     19K
lua-linenoise-0.9-r1.apk                           26-Oct-2024 04:07    1199
lua-luastatic-0.0.12-r1.apk                        26-Oct-2024 04:07    1497
lua-lupa-1.0-r0.apk                                26-Oct-2024 04:07     20K
lua-lut-1.2.1-r0.apk                               26-Oct-2024 04:07     89K
lua-psl-0.3-r0.apk                                 26-Oct-2024 04:07    1158
lua-resty-redis-0.29-r0.apk                        26-Oct-2024 04:07    5455
lua-resty-upload-0.11-r0.apk                       26-Oct-2024 04:07    3703
lua-xml-1.1.3-r2.apk                               26-Oct-2024 04:07    1464
lua5.1-lcurses-9.0.0-r0.apk                        26-Oct-2024 04:07     21K
lua5.1-libguestfs-1.56.1-r0.apk                    24-Jul-2025 22:42     74K
lua5.1-libmodbus-0.6.1-r0.apk                      26-Oct-2024 04:07    8854
lua5.1-linenoise-0.9-r1.apk                        26-Oct-2024 04:07     15K
lua5.1-luacov-0.15.0-r0.apk                        26-Oct-2024 04:07     23K
lua5.1-luacov-html-1.0.0-r1.apk                    26-Oct-2024 04:07    413K
lua5.1-luastatic-0.0.12-r1.apk                     26-Oct-2024 04:07     62K
lua5.1-psl-0.3-r0.apk                              26-Oct-2024 04:07    5327
lua5.1-ubus-2025.10.17-r0.apk                      25-Oct-2025 21:57    8907
lua5.1-xml-1.1.3-r2.apk                            26-Oct-2024 04:07     21K
lua5.2-editorconfig-0.3.0-r0.apk                   26-Oct-2024 04:07    4108
lua5.2-libmodbus-0.6.1-r0.apk                      26-Oct-2024 04:07    8853
lua5.2-linenoise-0.9-r1.apk                        26-Oct-2024 04:07     15K
lua5.2-luacov-0.15.0-r0.apk                        26-Oct-2024 04:07     23K
lua5.2-luacov-html-1.0.0-r1.apk                    26-Oct-2024 04:07    413K
lua5.2-luastatic-0.0.12-r1.apk                     26-Oct-2024 04:07    8902
lua5.2-psl-0.3-r0.apk                              26-Oct-2024 04:07    5257
lua5.2-ubus-2025.10.17-r0.apk                      25-Oct-2025 21:57    8912
lua5.2-xml-1.1.3-r2.apk                            26-Oct-2024 04:07     21K
lua5.3-editorconfig-0.3.0-r0.apk                   26-Oct-2024 04:07    4147
lua5.3-linenoise-0.9-r1.apk                        26-Oct-2024 04:07     15K
lua5.3-luacov-0.15.0-r0.apk                        26-Oct-2024 04:07     23K
lua5.3-luacov-html-1.0.0-r1.apk                    26-Oct-2024 04:07    413K
lua5.3-luastatic-0.0.12-r1.apk                     26-Oct-2024 04:07    8935
lua5.3-psl-0.3-r0.apk                              26-Oct-2024 04:07    5296
lua5.4-editorconfig-0.3.0-r0.apk                   26-Oct-2024 04:07    4149
lua5.4-linenoise-0.9-r1.apk                        26-Oct-2024 04:07     15K
lua5.4-luacov-0.15.0-r0.apk                        26-Oct-2024 04:07     23K
lua5.4-luastatic-0.0.12-r1.apk                     26-Oct-2024 04:07    9009
luacov-0.15.0-r0.apk                               26-Oct-2024 04:07    1485
luacov-html-1.0.0-r1.apk                           26-Oct-2024 04:07    1244
luapak-0.1.0_beta5-r0.apk                          26-Oct-2024 04:07     35K
luksmeta-9-r0.apk                                  26-Oct-2024 04:07     12K
luksmeta-dev-9-r0.apk                              26-Oct-2024 04:07    3169
luksmeta-doc-9-r0.apk                              26-Oct-2024 04:07    5614
lumina-desktop-1.6.2-r0.apk                        26-Oct-2024 04:07    1269
lumina-desktop-archiver-1.6.2-r0.apk               26-Oct-2024 04:07    152K
lumina-desktop-core-1.6.2-r0.apk                   26-Oct-2024 04:07      9M
lumina-desktop-coreutils-1.6.2-r0.apk              26-Oct-2024 04:07    764K
lumina-desktop-doc-1.6.2-r0.apk                    26-Oct-2024 04:07     12K
lumina-desktop-fileinfo-1.6.2-r0.apk               26-Oct-2024 04:07    145K
lumina-desktop-fm-1.6.2-r0.apk                     26-Oct-2024 04:07    360K
lumina-desktop-mediaplayer-1.6.2-r0.apk            26-Oct-2024 04:07    185K
lumina-desktop-photo-1.6.2-r0.apk                  26-Oct-2024 04:07    113K
lumina-desktop-screenshot-1.6.2-r0.apk             26-Oct-2024 04:07    149K
lumina-desktop-sudo-1.6.2-r0.apk                   26-Oct-2024 04:07     86K
lumina-desktop-textedit-1.6.2-r0.apk               26-Oct-2024 04:07    175K
lumins-0.4.0-r2.apk                                26-Oct-2024 04:07    589K
lutgen-1.0.1-r0.apk                                20-Nov-2025 12:26      2M
lutgen-bash-completion-1.0.1-r0.apk                20-Nov-2025 12:26    1785
lutgen-doc-1.0.1-r0.apk                            20-Nov-2025 12:26    4563
lutgen-fish-completion-1.0.1-r0.apk                20-Nov-2025 12:26    1827
lutgen-zsh-completion-1.0.1-r0.apk                 20-Nov-2025 12:26    1750
lutris-0.5.19-r1.apk                               19-Sep-2025 23:53    819K
lutris-doc-0.5.19-r1.apk                           19-Sep-2025 23:53    2400
lutris-lang-0.5.19-r1.apk                          19-Sep-2025 23:53    810K
lutris-pyc-0.5.19-r1.apk                           19-Sep-2025 23:53      1M
lv_font_conv-1.5.3-r0.apk                          20-Aug-2025 04:54      1M
lv_font_conv-doc-1.5.3-r0.apk                      20-Aug-2025 04:54    5095
lxappearance-0.6.3-r3.apk                          26-Oct-2024 04:07     28K
lxappearance-dev-0.6.3-r3.apk                      26-Oct-2024 04:07    3316
lxappearance-doc-0.6.3-r3.apk                      26-Oct-2024 04:07    2675
lxappearance-lang-0.6.3-r3.apk                     26-Oct-2024 04:07     80K
lxqt-wayland-session-0.3.0-r0.apk                  21-Nov-2025 07:09    339K
lxqt-wayland-session-doc-0.3.0-r0.apk              21-Nov-2025 07:09     29K
lychee-0.19.1-r0.apk                               20-Jun-2025 13:10      3M
lychee-doc-0.19.1-r0.apk                           20-Jun-2025 13:10     13K
lynis-3.1.4-r0.apk                                 29-Jul-2025 17:11    276K
lynis-bash-completion-3.1.4-r0.apk                 29-Jul-2025 17:11    3039
lynis-doc-3.1.4-r0.apk                             29-Jul-2025 17:11     50K
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 19:09     38K
m2r2-0.3.3-r3.apk                                  26-Oct-2024 04:07     13K
m2r2-pyc-0.3.3-r3.apk                              26-Oct-2024 04:07     16K
macchina-6.4.0-r0.apk                              24-Jul-2025 22:42    890K
macchina-doc-6.4.0-r0.apk                          24-Jul-2025 22:42    5804
mage-1.13.0-r23.apk                                12-May-2025 16:06      2M
magpie-0.9.4-r0.apk                                17-Oct-2025 02:07      2M
magpie-dev-0.9.4-r0.apk                            17-Oct-2025 02:07    246K
magpie-lang-0.9.4-r0.apk                           17-Oct-2025 02:07    849K
maildir-rank-addr-1.4.1-r1.apk                     04-Dec-2025 22:54      3M
maildir-rank-addr-doc-1.4.1-r1.apk                 04-Dec-2025 22:54    2333
maildir2rss-0.0.7-r10.apk                          04-Dec-2025 22:54      4M
mailsec-check-0_git20210729-r31.apk                04-Dec-2025 22:54      3M
makeclapman-2.4.4-r10.apk                          04-Dec-2025 22:54      1M
makeclapman-doc-2.4.4-r10.apk                      04-Dec-2025 22:54    4244
makedumpfile-1.7.8-r0.apk                          05-Nov-2025 23:24    162K
makedumpfile-doc-1.7.8-r0.apk                      05-Nov-2025 23:24     24K
makedumpfile-openrc-1.7.8-r0.apk                   05-Nov-2025 23:24    3223
makeself-2.5.0-r0.apk                              26-Oct-2024 04:07     13K
malcontent-0.13.1-r0.apk                           09-Sep-2025 22:17    152K
malcontent-dev-0.13.1-r0.apk                       09-Sep-2025 22:17     24K
malcontent-doc-0.13.1-r0.apk                       09-Sep-2025 22:17     45K
mame-0.251-r0.apk                                  26-Oct-2024 04:07     83M
mame-arcade-0.251-r0.apk                           26-Oct-2024 04:07     57M
mame-common-0.251-r0.apk                           26-Oct-2024 04:07    2722
mame-data-0.251-r0.apk                             26-Oct-2024 04:07     19M
mame-doc-0.251-r0.apk                              26-Oct-2024 04:07     24K
mame-lang-0.251-r0.apk                             26-Oct-2024 04:07      1M
mame-mess-0.251-r0.apk                             26-Oct-2024 04:07     44M
mame-plugins-0.251-r0.apk                          26-Oct-2024 04:07    166K
mame-tools-0.251-r0.apk                            26-Oct-2024 04:07      2M
mangal-4.0.6-r23.apk                               04-Dec-2025 22:54     10M
mangal-bash-completion-4.0.6-r23.apk               04-Dec-2025 22:54    5110
mangal-fish-completion-4.0.6-r23.apk               04-Dec-2025 22:54    4017
mangal-zsh-completion-4.0.6-r23.apk                04-Dec-2025 22:54    4095
mangowc-0.10.8-r0.apk                              21-Dec-2025 08:32    105K
mangr0ve-0.1.2-r0.apk                              26-Oct-2024 04:07    2851
mangr0ve-doc-0.1.2-r0.apk                          26-Oct-2024 04:07     14K
manifest-tool-2.2.0-r6.apk                         04-Dec-2025 22:54      4M
mapnik-4.1.4-r0.apk                                04-Dec-2025 22:54     11M
mapnik-dev-4.1.4-r0.apk                            04-Dec-2025 22:54    487K
mapnik-doc-4.1.4-r0.apk                            04-Dec-2025 22:54    143K
mapserver-8.4.1-r1.apk                             04-Dec-2025 22:54      1M
mapserver-dev-8.4.1-r1.apk                         04-Dec-2025 22:54    540K
marxan-4.0.7-r1.apk                                26-Oct-2024 04:07    476K
masky-0.2.0-r2.apk                                 29-May-2025 20:58    277K
masky-pyc-0.2.0-r2.apk                             29-May-2025 20:58     64K
mat2-0.13.5-r0.apk                                 19-Sep-2025 23:53     35K
mat2-doc-0.13.5-r0.apk                             19-Sep-2025 23:53    7877
mat2-pyc-0.13.5-r0.apk                             19-Sep-2025 23:53     54K
materia-20210322-r3.apk                            15-Jul-2025 19:28    1710
materia-chromium-20210322-r3.apk                   15-Jul-2025 19:28    5823
materia-compact-20210322-r3.apk                    15-Jul-2025 19:28    1728
materia-compact-chromium-20210322-r3.apk           15-Jul-2025 19:28    5833
materia-compact-gnome-shell-20210322-r3.apk        15-Jul-2025 19:28     29K
materia-compact-gtk2-20210322-r3.apk               15-Jul-2025 19:28     35K
materia-compact-gtk3-20210322-r3.apk               15-Jul-2025 19:28     63K
materia-compact-gtk4-20210322-r3.apk               15-Jul-2025 19:28     43K
materia-dark-20210322-r3.apk                       15-Jul-2025 19:28    1728
materia-dark-chromium-20210322-r3.apk              15-Jul-2025 19:28    5840
materia-dark-compact-20210322-r3.apk               15-Jul-2025 19:28    1745
materia-dark-compact-chromium-20210322-r3.apk      15-Jul-2025 19:28    5857
materia-dark-compact-gnome-shell-20210322-r3.apk   15-Jul-2025 19:28     29K
materia-dark-compact-gtk2-20210322-r3.apk          15-Jul-2025 19:28     35K
materia-dark-compact-gtk3-20210322-r3.apk          15-Jul-2025 19:28     40K
materia-dark-compact-gtk4-20210322-r3.apk          15-Jul-2025 19:28     29K
materia-dark-gnome-shell-20210322-r3.apk           15-Jul-2025 19:28     29K
materia-dark-gtk2-20210322-r3.apk                  15-Jul-2025 19:28     34K
materia-dark-gtk3-20210322-r3.apk                  15-Jul-2025 19:28     40K
materia-dark-gtk4-20210322-r3.apk                  15-Jul-2025 19:28     29K
materia-gnome-shell-20210322-r3.apk                15-Jul-2025 19:28     29K
materia-gtk-theme-20210322-r3.apk                  15-Jul-2025 19:28    2929
materia-gtk2-20210322-r3.apk                       15-Jul-2025 19:28     35K
materia-gtk3-20210322-r3.apk                       15-Jul-2025 19:28     63K
materia-gtk4-20210322-r3.apk                       15-Jul-2025 19:28     43K
mautrix-linkedin-0.2512.0-r0.apk                   17-Dec-2025 03:01      7M
mautrix-linkedin-doc-0.2512.0-r0.apk               17-Dec-2025 03:01     13K
mautrix-linkedin-openrc-0.2512.0-r0.apk            17-Dec-2025 03:01    2009
mautrix-zulip-0.2511.0-r1.apk                      04-Dec-2025 22:54      7M
mautrix-zulip-doc-0.2511.0-r1.apk                  04-Dec-2025 22:54     13K
mautrix-zulip-openrc-0.2511.0-r1.apk               04-Dec-2025 22:54    2005
maxima-5.48.1-r9.apk                               22-Aug-2025 19:18     25M
maxima-bash-completion-5.48.1-r9.apk               22-Aug-2025 19:18    2385
maxima-doc-5.48.1-r9.apk                           22-Aug-2025 19:18    846K
maxima-doc-extra-5.48.1-r9.apk                     22-Aug-2025 19:18     10M
maxima-emacs-5.48.1-r9.apk                         22-Aug-2025 19:18    111K
mbrola-3.3-r0.apk                                  26-Oct-2024 04:07     18K
mcjoin-2.11-r0.apk                                 26-Oct-2024 04:07     21K
mcjoin-doc-2.11-r0.apk                             26-Oct-2024 04:07     54K
md5ha1-0_git20171202-r1.apk                        26-Oct-2024 04:07    9128
mdbook-admonish-1.20.0-r0.apk                      20-Jun-2025 13:10    945K
mdbook-alerts-0.8.0-r0.apk                         26-Sep-2025 06:50    655K
mdbook-katex-0.9.4-r0.apk                          17-May-2025 22:32      1M
mdbook-linkcheck-0.7.7-r0.apk                      17-May-2025 04:17      3M
mdbook-plantuml-0.8.0-r0.apk                       26-Oct-2024 04:07    819K
mdnsd-0.12-r1.apk                                  26-Oct-2024 04:07     21K
mdnsd-doc-0.12-r1.apk                              26-Oct-2024 04:07     14K
mdnsd-libs-0.12-r1.apk                             26-Oct-2024 04:07     15K
mdnsd-openrc-0.12-r1.apk                           26-Oct-2024 04:07    2187
mdnsd-static-0.12-r1.apk                           26-Oct-2024 04:07     15K
mdp-1.0.18-r0.apk                                  10-Jul-2025 11:28     15K
mdp-doc-1.0.18-r0.apk                              10-Jul-2025 11:28    3963
mediascanner2-0.118-r4.apk                         18-Nov-2025 00:33    233K
mediascanner2-systemd-0.118-r4.apk                 18-Nov-2025 00:33    1836
mediastreamer2-5.3.112-r1.apk                      19-Oct-2025 19:13    314K
mediastreamer2-dev-5.3.112-r1.apk                  19-Oct-2025 19:13    110K
mediastreamer2-doc-5.3.112-r1.apk                  19-Oct-2025 19:13    108K
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 11-Mar-2025 05:53     10K
mediastreamer2-plugin-x264-20200722-r6.apk         26-Oct-2024 04:07    7450
meep-1.31.0-r1.apk                                 19-Aug-2025 22:07    577K
meep-dev-1.31.0-r1.apk                             19-Aug-2025 22:07    477K
megatools-1.11.5.20250706-r0.apk                   31-Jul-2025 00:07     60K
megatools-bash-completion-1.11.5.20250706-r0.apk   31-Jul-2025 00:07    4195
megatools-doc-1.11.5.20250706-r0.apk               31-Jul-2025 00:07     52K
megazeux-2.93d-r0.apk                              10-Jun-2025 22:44      1M
megazeux-doc-2.93d-r0.apk                          10-Jun-2025 22:44    465K
memdump-1.01-r1.apk                                26-Oct-2024 04:07    5326
memdump-doc-1.01-r1.apk                            26-Oct-2024 04:07    3187
menumaker-0.99.14-r1.apk                           26-Oct-2024 04:07    111K
mergerfs-2.41.1-r0.apk                             14-Dec-2025 01:13    377K
mergerfs-doc-2.41.1-r0.apk                         14-Dec-2025 01:13    3389
mesonlsp-4.3.7-r4.apk                              20-Jun-2025 13:10      2M
metadata-cleaner-2.5.6-r0.apk                      02-Feb-2025 02:06     49K
metadata-cleaner-doc-2.5.6-r0.apk                  02-Feb-2025 02:06      2M
metadata-cleaner-lang-2.5.6-r0.apk                 02-Feb-2025 02:06     66K
metalang99-1.13.3-r0.apk                           26-Oct-2024 04:07     54K
microsocks-1.0.5-r0.apk                            22-Dec-2025 08:53    7519
microsocks-doc-1.0.5-r0.apk                        22-Dec-2025 08:53    4041
microsocks-openrc-1.0.5-r0.apk                     22-Dec-2025 08:53    1880
milkytracker-1.04.00-r2.apk                        26-Oct-2024 04:07    921K
milkytracker-doc-1.04.00-r2.apk                    26-Oct-2024 04:07     50K
mimalloc1-1.9.4-r0.apk                             05-Nov-2025 23:28     62K
mimalloc1-debug-1.9.4-r0.apk                       05-Nov-2025 23:28    201K
mimalloc1-dev-1.9.4-r0.apk                         05-Nov-2025 23:28    449K
mimalloc1-insecure-1.9.4-r0.apk                    05-Nov-2025 23:28     59K
mimedefang-3.6-r1.apk                              08-Oct-2025 19:22    152K
mimedefang-doc-3.6-r1.apk                          08-Oct-2025 19:22     81K
mimeo-2023-r2.apk                                  26-Oct-2024 04:07     28K
mimeo-pyc-2023-r2.apk                              26-Oct-2024 04:07     42K
minidyndns-1.3.0-r3.apk                            26-Oct-2024 04:07     12K
minidyndns-doc-1.3.0-r3.apk                        26-Oct-2024 04:07    5229
minidyndns-openrc-1.3.0-r3.apk                     26-Oct-2024 04:07    1891
minigalaxy-1.4.0-r0.apk                            15-Jul-2025 19:28    197K
minigalaxy-pyc-1.4.0-r0.apk                        15-Jul-2025 19:28    135K
minimodem-0.24-r1.apk                              26-Oct-2024 04:07     19K
minimodem-doc-0.24-r1.apk                          26-Oct-2024 04:07    5323
minisatip-1.3.4-r0.apk                             26-Oct-2024 04:07    310K
minisatip-openrc-1.3.4-r0.apk                      26-Oct-2024 04:07    1924
mint-themes-2.3.2-r0.apk                           18-Nov-2025 00:33      2M
mint-themes-doc-2.3.2-r0.apk                       18-Nov-2025 00:33     14K
mint-x-icons-1.7.5-r0.apk                          01-Dec-2025 15:04     23M
mint-x-icons-doc-1.7.5-r0.apk                      01-Dec-2025 15:04    8068
mint-x-theme-2.3.2-r0.apk                          18-Nov-2025 00:33    2831
mint-x-theme-gtk2-2.3.2-r0.apk                     18-Nov-2025 00:33    453K
mint-x-theme-gtk3-2.3.2-r0.apk                     18-Nov-2025 00:33    597K
mint-x-theme-gtk4-2.3.2-r0.apk                     18-Nov-2025 00:33    503K
mint-x-theme-metacity-2.3.2-r0.apk                 18-Nov-2025 00:33    6170
mint-x-theme-xfwm4-2.3.2-r0.apk                    18-Nov-2025 00:33     31K
mint-y-icons-1.8.9-r0.apk                          01-Dec-2025 15:04     73M
mint-y-icons-doc-1.8.9-r0.apk                      01-Dec-2025 15:04     12K
mint-y-theme-2.3.2-r0.apk                          18-Nov-2025 00:33    3631
mint-y-theme-gtk2-2.3.2-r0.apk                     18-Nov-2025 00:33    595K
mint-y-theme-gtk3-2.3.2-r0.apk                     18-Nov-2025 00:33      2M
mint-y-theme-gtk4-2.3.2-r0.apk                     18-Nov-2025 00:33      2M
mint-y-theme-metacity-2.3.2-r0.apk                 18-Nov-2025 00:33     55K
mint-y-theme-xfwm4-2.3.2-r0.apk                    18-Nov-2025 00:33    203K
mir-2.25.2-r0.apk                                  18-Dec-2025 23:17      2M
mir-demos-2.25.2-r0.apk                            18-Dec-2025 23:17    134K
mir-dev-2.25.2-r0.apk                              18-Dec-2025 23:17      8M
mir-test-tools-2.25.2-r0.apk                       18-Dec-2025 23:17      1M
miracle-wm-0.8.2-r1.apk                            18-Dec-2025 23:17    412K
miracle-wm-dev-0.8.2-r1.apk                        18-Dec-2025 23:17     15K
mirrorhall-0.1.1-r2.apk                            09-Aug-2025 09:22     26K
mjpg-streamer-0_git20210220-r2.apk                 15-May-2025 00:03    178K
mjpg-streamer-input-raspicam-0_git20210220-r2.apk  15-May-2025 00:03     15K
mkcert-1.4.4-r24.apk                               04-Dec-2025 22:54      2M
mkdocs-bootstrap-1.1.1-r2.apk                      26-Oct-2024 04:07     29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  26-Oct-2024 04:07    1853
mkdocs-bootstrap386-0.0.2-r5.apk                   26-Oct-2024 04:07    791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               26-Oct-2024 04:07    1859
mkdocs-bootstrap4-0.1.5-r5.apk                     26-Oct-2024 04:07    260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 26-Oct-2024 04:07    1852
mkdocs-bootswatch-1.1-r5.apk                       26-Oct-2024 04:07    538K
mkdocs-bootswatch-pyc-1.1-r5.apk                   26-Oct-2024 04:07    4832
mkdocs-cinder-1.2.0-r5.apk                         26-Oct-2024 04:07    249K
mkdocs-cinder-pyc-1.2.0-r5.apk                     26-Oct-2024 04:07    1840
mkdocs-cluster-0.0.9-r5.apk                        26-Oct-2024 04:07    651K
mkdocs-cluster-pyc-0.0.9-r5.apk                    26-Oct-2024 04:07    1857
mkdocs-gitbook-0.0.1-r5.apk                        26-Oct-2024 04:07    644K
mkdocs-gitbook-pyc-0.0.1-r5.apk                    26-Oct-2024 04:07    1855
mkdocs-ivory-0.4.6-r5.apk                          26-Oct-2024 04:07     11K
mkdocs-ivory-pyc-0.4.6-r5.apk                      26-Oct-2024 04:07    1844
mkdocs-rtd-dropdown-1.0.2-r5.apk                   26-Oct-2024 04:07    248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               26-Oct-2024 04:07    1854
mkdocs-windmill-1.0.5-r4.apk                       26-Oct-2024 04:07    944K
mkdocs-windmill-pyc-1.0.5-r4.apk                   26-Oct-2024 04:07    1851
mkdotenv-0.4.9-r2.apk                              04-Dec-2025 22:54    921K
mkg3a-0.5.0-r1.apk                                 26-Oct-2024 04:07     15K
mkg3a-doc-0.5.0-r1.apk                             26-Oct-2024 04:07    3130
mkosi-26-r0.apk                                    18-Dec-2025 03:30    295K
mkosi-doc-26-r0.apk                                18-Dec-2025 03:30     75K
mkosi-pyc-26-r0.apk                                18-Dec-2025 03:30    438K
mktorrent-borg-0.9.9-r1.apk                        26-Oct-2024 04:07    9722
mktorrent-borg-doc-0.9.9-r1.apk                    26-Oct-2024 04:07    2548
mlxl-0.1-r0.apk                                    26-Oct-2024 04:07    5454
mm-1.4.2-r1.apk                                    26-Oct-2024 04:07    6878
mm-common-1.0.7-r0.apk                             28-Jun-2025 01:39    414K
mm-common-doc-1.0.7-r0.apk                         28-Jun-2025 01:39     33K
mm-dev-1.4.2-r1.apk                                26-Oct-2024 04:07     11K
mm-doc-1.4.2-r1.apk                                26-Oct-2024 04:07     14K
mmar-0.2.5-r1.apk                                  12-May-2025 16:06      3M
mmix-0_git20221025-r0.apk                          26-Oct-2024 04:07    165K
mml-1.0.0-r1.apk                                   30-Sep-2025 09:41    783K
mml-bash-completion-1.0.0-r1.apk                   30-Sep-2025 09:41    2309
mml-doc-1.0.0-r1.apk                               30-Sep-2025 09:41    3881
mml-fish-completion-1.0.0-r1.apk                   30-Sep-2025 09:41    2280
mml-zsh-completion-1.0.0-r1.apk                    30-Sep-2025 09:41    2850
mnamer-2.5.5-r1.apk                                26-Oct-2024 04:07     32K
mnamer-pyc-2.5.5-r1.apk                            26-Oct-2024 04:07     60K
mobpass-0.2-r6.apk                                 26-Oct-2024 04:07     18K
mobpass-pyc-0.2-r6.apk                             26-Oct-2024 04:07    5369
mobroute-0.10.0-r5.apk                             04-Dec-2025 22:54      4M
mobroute-doc-0.10.0-r5.apk                         04-Dec-2025 22:54      1M
mod_dnssd-0.6-r1.apk                               09-Aug-2025 09:22    7986
modem-manager-gui-0.0.20-r0.apk                    26-Oct-2024 04:07    305K
modem-manager-gui-doc-0.0.20-r0.apk                26-Oct-2024 04:07      4M
modem-manager-gui-lang-0.0.20-r0.apk               26-Oct-2024 04:07    129K
mods-1.8.1-r3.apk                                  04-Dec-2025 22:54     10M
mods-doc-1.8.1-r3.apk                              04-Dec-2025 22:54    2309
moe-1.14-r0.apk                                    26-Oct-2024 04:07     92K
moe-doc-1.14-r0.apk                                26-Oct-2024 04:07     19K
moka-icon-theme-5.4.0-r2.apk                       26-Oct-2024 04:07    114M
monetdb-11.33.11-r4.apk                            26-Oct-2024 04:07      2M
monetdb-dev-11.33.11-r4.apk                        26-Oct-2024 04:07     77K
monetdb-doc-11.33.11-r4.apk                        26-Oct-2024 04:07    321K
mongo-cxx-driver-3.8.0-r0.apk                      26-Oct-2024 04:07    159K
mongo-cxx-driver-dev-3.8.0-r0.apk                  26-Oct-2024 04:07     89K
monopd-0.10.4-r0.apk                               11-Jan-2025 20:11     84K
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 20:11    1721
moon-buggy-1.0.51-r1.apk                           26-Oct-2024 04:07     32K
moon-buggy-doc-1.0.51-r1.apk                       26-Oct-2024 04:07    7308
moosefs-4.56.6-r2.apk                              20-Jun-2025 13:10    262K
moosefs-cgi-4.56.6-r2.apk                          20-Jun-2025 13:10    121K
moosefs-cgiserv-4.56.6-r2.apk                      20-Jun-2025 13:10    8010
moosefs-cgiserv-openrc-4.56.6-r2.apk               20-Jun-2025 13:10    2039
moosefs-chunkserver-4.56.6-r2.apk                  20-Jun-2025 13:10    207K
moosefs-chunkserver-openrc-4.56.6-r2.apk           20-Jun-2025 13:10    1720
moosefs-client-4.56.6-r2.apk                       20-Jun-2025 13:10    638K
moosefs-doc-4.56.6-r2.apk                          20-Jun-2025 13:10     95K
moosefs-master-4.56.6-r2.apk                       20-Jun-2025 13:10    340K
moosefs-master-openrc-4.56.6-r2.apk                20-Jun-2025 13:10    1708
moosefs-metalogger-4.56.6-r2.apk                   20-Jun-2025 13:10     38K
moosefs-metalogger-openrc-4.56.6-r2.apk            20-Jun-2025 13:10    1713
moosefs-static-4.56.6-r2.apk                       20-Jun-2025 13:10    704K
morph-browser-1.99.1-r0.apk                        11-Dec-2025 02:06    509K
morph-browser-lang-1.99.1-r0.apk                   11-Dec-2025 02:06    343K
motion-4.7.1-r0.apk                                28-Sep-2025 06:20    133K
motion-doc-4.7.1-r0.apk                            28-Sep-2025 06:20    140K
motion-lang-4.7.1-r0.apk                           28-Sep-2025 06:20    471K
motion-openrc-4.7.1-r0.apk                         28-Sep-2025 06:20    2271
mp3val-0.1.8-r1.apk                                26-Oct-2024 04:07     12K
mpdcron-0.3-r1.apk                                 26-Oct-2024 04:07     90K
mpdcron-dev-0.3-r1.apk                             26-Oct-2024 04:07     52K
mpdcron-doc-0.3-r1.apk                             26-Oct-2024 04:07     13K
mpdcron-zsh-completion-0.3-r1.apk                  26-Oct-2024 04:07    2953
mpdris2-0.9.1-r3.apk                               26-Oct-2024 04:07     15K
mpdris2-doc-0.9.1-r3.apk                           26-Oct-2024 04:07     15K
mpdris2-lang-0.9.1-r3.apk                          26-Oct-2024 04:07    2390
mpv-sponsorblock-2.2.0-r0.apk                      20-Jun-2025 13:10      1M
mqtt2prometheus-0.1.7-r21.apk                      04-Dec-2025 22:54      4M
mrsh-0_git20210518-r1.apk                          26-Oct-2024 04:07    5164
mrsh-dbg-0_git20210518-r1.apk                      26-Oct-2024 04:07    206K
mrsh-dev-0_git20210518-r1.apk                      26-Oct-2024 04:07     10K
mrsh-libs-0_git20210518-r1.apk                     26-Oct-2024 04:07     50K
msgpuck-2.0-r1.apk                                 26-Oct-2024 04:07    1233
msgpuck-dev-2.0-r1.apk                             26-Oct-2024 04:07     22K
msgpuck-doc-2.0-r1.apk                             26-Oct-2024 04:07    7507
msh-2.5.0-r17.apk                                  04-Dec-2025 22:54      3M
msh-openrc-2.5.0-r17.apk                           04-Dec-2025 22:54    2040
mspdebug-0.25-r1.apk                               26-Oct-2024 04:07    161K
mspdebug-doc-0.25-r1.apk                           26-Oct-2024 04:07     14K
mtail-3.2.26-r1.apk                                04-Dec-2025 22:54     13M
mtail-openrc-3.2.26-r1.apk                         04-Dec-2025 22:54    2024
muse-4.2.1-r2.apk                                  12-May-2025 20:42      6M
muse-doc-4.2.1-r2.apk                              12-May-2025 20:42      4M
musikcube-3.0.5-r0.apk                             28-Sep-2025 06:20      2M
musikcube-dev-3.0.5-r0.apk                         28-Sep-2025 06:20     19K
musikcube-plugin-all-3.0.5-r0.apk                  28-Sep-2025 06:20    1371
musikcube-plugin-httpdatastream-3.0.5-r0.apk       28-Sep-2025 06:20     65K
musikcube-plugin-mpris-3.0.5-r0.apk                28-Sep-2025 06:20     18K
musikcube-plugin-openmpt-3.0.5-r0.apk              28-Sep-2025 06:20     24K
musikcube-plugin-server-3.0.5-r0.apk               28-Sep-2025 06:20    331K
musikcube-plugin-stockencoders-3.0.5-r0.apk        28-Sep-2025 06:20     17K
musikcube-plugin-supereqdsp-3.0.5-r0.apk           28-Sep-2025 06:20     22K
musikcube-plugin-taglibreader-3.0.5-r0.apk         28-Sep-2025 06:20     30K
mxclient-0_git20211002-r1.apk                      26-Oct-2024 04:07     60K
n30f-2.0-r3.apk                                    26-Oct-2024 04:07    6478
nano-hare-0_git20231021-r0.apk                     26-Oct-2024 04:07    2206
nautilus-python-4.0.1-r0.apk                       13-Oct-2025 06:54    9312
nautilus-python-dev-4.0.1-r0.apk                   13-Oct-2025 06:54    1743
nautilus-python-doc-4.0.1-r0.apk                   13-Oct-2025 06:54    4339
nauty-2.9.1-r0.apk                                 09-Sep-2025 22:17      5M
nauty-dev-2.9.1-r0.apk                             09-Sep-2025 22:17      3M
nauty-libs-2.9.1-r0.apk                            09-Sep-2025 22:17      2M
nb-7.19.1-r0.apk                                   25-May-2025 07:10    152K
nb-bash-completion-7.19.1-r0.apk                   25-May-2025 07:10    3058
nb-doc-7.19.1-r0.apk                               25-May-2025 07:10     77K
nb-fish-completion-7.19.1-r0.apk                   25-May-2025 07:10    2864
nb-full-7.19.1-r0.apk                              25-May-2025 07:10    1329
nb-zsh-completion-7.19.1-r0.apk                    25-May-2025 07:10    3021
nbsdgames-5-r0.apk                                 26-Oct-2024 04:07     85K
nbsdgames-doc-5-r0.apk                             26-Oct-2024 04:07    9619
neard-0.19-r0.apk                                  26-Oct-2024 04:07    124K
neard-dev-0.19-r0.apk                              26-Oct-2024 04:07     11K
neard-doc-0.19-r0.apk                              26-Oct-2024 04:07    5721
neard-openrc-0.19-r0.apk                           26-Oct-2024 04:07    1730
neko-2.3.0-r0.apk                                  21-Nov-2024 10:04    421K
neko-dev-2.3.0-r0.apk                              21-Nov-2024 10:04     10K
neko-doc-2.3.0-r0.apk                              21-Nov-2024 10:04     20K
nemo-gtkhash-plugin-1.5-r0.apk                     26-Oct-2024 04:07     20K
neocmakelsp-0.9.0-r0.apk                           22-Nov-2025 22:31      1M
neocmakelsp-bash-completion-0.9.0-r0.apk           22-Nov-2025 22:31    2033
neocmakelsp-doc-0.9.0-r0.apk                       22-Nov-2025 22:31    5972
neocmakelsp-fish-completion-0.9.0-r0.apk           22-Nov-2025 22:31    1661
neocmakelsp-zsh-completion-0.9.0-r0.apk            22-Nov-2025 22:31    1865
neofetch-7.1.0-r2.apk                              07-Nov-2024 14:39     86K
neofetch-doc-7.1.0-r2.apk                          07-Nov-2024 14:39    6328
net-predictable-1.5.1-r5.apk                       04-Dec-2025 22:54    933K
net-predictable-doc-1.5.1-r5.apk                   04-Dec-2025 22:54    2298
netdiscover-0.21-r0.apk                            15-Aug-2025 21:45    546K
netdiscover-doc-0.21-r0.apk                        15-Aug-2025 21:45    4308
netscanner-0.5.1-r1.apk                            26-Oct-2024 04:07      3M
netscanner-doc-0.5.1-r1.apk                        26-Oct-2024 04:07    3409
netsed-1.4-r0.apk                                  18-Aug-2025 19:15    9367
networkmanager-dmenu-2.6.1-r1.apk                  14-Oct-2025 00:50     14K
networkmanager-dmenu-doc-2.6.1-r1.apk              14-Oct-2025 00:50    6961
newsyslog-1.2.0.91-r1.apk                          26-Oct-2024 04:07     18K
newsyslog-doc-1.2.0.91-r1.apk                      26-Oct-2024 04:07     24K
nextpnr-0.7-r0.apk                                 26-Oct-2024 04:07    1482
nextpnr-ecp5-0.7-r0.apk                            26-Oct-2024 04:07     25M
nextpnr-generic-0.7-r0.apk                         26-Oct-2024 04:07    650K
nextpnr-gowin-0.7-r0.apk                           26-Oct-2024 04:07      1M
nextpnr-ice40-0.7-r0.apk                           26-Oct-2024 04:07     68M
nfcd-1.2.3-r1.apk                                  18-Nov-2025 00:33    266K
nfcd-dev-1.2.3-r1.apk                              18-Nov-2025 00:33     24K
nfcd-systemd-1.2.3-r1.apk                          18-Nov-2025 00:33    1863
nfoview-2.1-r0.apk                                 13-Apr-2025 19:41     39K
nfoview-doc-2.1-r0.apk                             13-Apr-2025 19:41    8195
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 26-Oct-2024 04:07    713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 26-Oct-2024 04:07     21K
nicotine-plus-3.3.10-r0.apk                        11-May-2025 20:57      2M
nicotine-plus-doc-3.3.10-r0.apk                    11-May-2025 20:57    2641
nicotine-plus-lang-3.3.10-r0.apk                   11-May-2025 20:57    757K
nicotine-plus-pyc-3.3.10-r0.apk                    11-May-2025 20:57    789K
nitro-2.7_beta8-r2.apk                             26-Oct-2024 04:07    473K
nitro-dev-2.7_beta8-r2.apk                         26-Oct-2024 04:07    190K
nitro-init-0.6-r0.apk                              17-Dec-2025 08:50     20K
nitro-init-doc-0.6-r0.apk                          17-Dec-2025 08:50    4712
nkk-0_git20221010-r0.apk                           26-Oct-2024 04:07     12K
nkk-dev-0_git20221010-r0.apk                       26-Oct-2024 04:07    2969
nkk-doc-0_git20221010-r0.apk                       26-Oct-2024 04:07    7141
nm-tray-0.5.1-r0.apk                               12-Sep-2025 02:35     88K
nm-tray-lang-0.5.1-r0.apk                          12-Sep-2025 02:35     27K
nmap-parse-output-1.5.1-r1.apk                     29-May-2025 20:58     20K
nmap-parse-output-bash-completion-1.5.1-r1.apk     29-May-2025 20:58    2032
nmap-parse-output-doc-1.5.1-r1.apk                 29-May-2025 20:58    808K
noblenote-1.2.1-r1.apk                             26-Oct-2024 04:07    378K
noggin-0.1-r23.apk                                 04-Dec-2025 22:54      1M
noggin-doc-0.1-r23.apk                             04-Dec-2025 22:54    2993
noggin-model-0.1-r0.apk                            26-Oct-2024 04:07     12M
noggin-model-lightweight-0.1-r0.apk                26-Oct-2024 04:07      2M
noice-0.8-r1.apk                                   26-Oct-2024 04:07    8440
noice-doc-0.8-r1.apk                               26-Oct-2024 04:07    3440
nom-2.8.0-r8.apk                                   04-Dec-2025 22:54      7M
nom-doc-2.8.0-r8.apk                               04-Dec-2025 22:54    4122
nomadnet-0.8.0-r0.apk                              24-Sep-2025 05:48    143K
nomadnet-pyc-0.8.0-r0.apk                          24-Sep-2025 05:48    285K
normaliz-3.10.4-r3.apk                             26-Nov-2025 17:23     36K
normaliz-dev-3.10.4-r3.apk                         26-Nov-2025 17:23     73K
normaliz-libs-3.10.4-r3.apk                        26-Nov-2025 17:23      2M
notification-daemon-3.20.0-r1.apk                  02-Jul-2025 07:04     55K
nrf5-sdk-17.1.0-r0.apk                             20-Aug-2025 04:54     47M
nrf5-sdk-doc-17.1.0-r0.apk                         20-Aug-2025 04:54    3718
nsh-0.4.2-r1.apk                                   26-Oct-2024 04:07    529K
nsh-dbg-0.4.2-r1.apk                               26-Oct-2024 04:07      3M
nsnake-3.0.0-r0.apk                                26-Oct-2024 04:07    8359
nsnake-doc-3.0.0-r0.apk                            26-Oct-2024 04:07    2692
nsq-1.3.0-r10.apk                                  12-May-2025 16:06     25M
ntpd-rs-1.6.2-r2.apk                               19-Dec-2025 02:57      3M
ntpd-rs-doc-1.6.2-r2.apk                           19-Dec-2025 02:57     24K
ntpd-rs-openrc-1.6.2-r2.apk                        19-Dec-2025 02:57    1989
nuklear-4.12.0-r0.apk                              26-Oct-2024 04:07    220K
nuklear-doc-4.12.0-r0.apk                          26-Oct-2024 04:07     42K
nullmailer-2.2-r4.apk                              26-Oct-2024 04:07     74K
nullmailer-doc-2.2-r4.apk                          26-Oct-2024 04:07     10K
nullmailer-openrc-2.2-r4.apk                       26-Oct-2024 04:07    1634
numbat-1.16.0-r0.apk                               19-Aug-2025 22:11      2M
numbat-doc-1.16.0-r0.apk                           19-Aug-2025 22:11     32K
nuzzle-1.6-r0.apk                                  25-Jan-2025 16:04     11K
nuzzle-doc-1.6-r0.apk                              25-Jan-2025 16:04    3287
nvim-cmp-0.0.0_git20221011-r1.apk                  26-Oct-2024 04:07     55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           26-Oct-2024 04:07    7995
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       26-Oct-2024 04:07    4253
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          26-Oct-2024 04:07    3396
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      26-Oct-2024 04:07    1832
nvim-cmp-doc-0.0.0_git20221011-r1.apk              26-Oct-2024 04:07     10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              26-Oct-2024 04:07    3562
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          26-Oct-2024 04:07    2667
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          26-Oct-2024 04:07    3605
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      26-Oct-2024 04:07    2087
nvim-cmp-path-0.0.0_git20221002-r1.apk             26-Oct-2024 04:07    3861
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         26-Oct-2024 04:07    2019
nvimpager-0.12.0-r0.apk                            26-Oct-2024 04:07     13K
nvimpager-doc-0.12.0-r0.apk                        26-Oct-2024 04:07    4503
nvimpager-zsh-completion-0.12.0-r0.apk             26-Oct-2024 04:07    1844
nvtop-3.2.0-r0.apk                                 11-May-2025 20:57     64K
nvtop-doc-3.2.0-r0.apk                             11-May-2025 20:57    3615
nwg-bar-0.1.6-r15.apk                              04-Dec-2025 22:54      2M
nwg-displays-0.3.26-r0.apk                         29-Aug-2025 01:22     27K
nwg-displays-pyc-0.3.26-r0.apk                     29-Aug-2025 01:22     36K
nwg-dock-0.4.3-r5.apk                              04-Dec-2025 22:54      2M
nwg-look-1.0.6-r1.apk                              04-Dec-2025 22:54      1M
nwg-look-doc-1.0.6-r1.apk                          04-Dec-2025 22:54    4260
nwg-menu-0.1.9-r4.apk                              04-Dec-2025 22:54      2M
nwg-menu-doc-0.1.9-r4.apk                          04-Dec-2025 22:54    2322
nwg-panel-0.10.13-r0.apk                           28-Nov-2025 22:29    288K
nwg-panel-doc-0.10.13-r0.apk                       28-Nov-2025 22:29    4550
nwg-panel-pyc-0.10.13-r0.apk                       28-Nov-2025 22:29    270K
nwipe-0.39-r0.apk                                  05-Dec-2025 11:01    268K
nwipe-doc-0.39-r0.apk                              05-Dec-2025 11:01    3889
nymphcast-mediaserver-0.1-r4.apk                   29-Aug-2025 06:08     58K
nymphcast-mediaserver-nftables-0.1-r4.apk          29-Aug-2025 06:08    1736
nyuu-0.4.2-r0.apk                                  13-Oct-2025 06:03    702K
nzbget-25.4-r0.apk                                 18-Nov-2025 02:13      5M
nzbget-openrc-25.4-r0.apk                          18-Nov-2025 02:13    2126
oauth2-proxy-7.11.0-r4.apk                         04-Dec-2025 22:54      9M
oauth2-proxy-openrc-7.11.0-r4.apk                  04-Dec-2025 22:54    2160
objconv-2.52_git20210213-r2.apk                    26-Oct-2024 04:07    211K
oblibs-0.3.4.0-r0.apk                              02-Jun-2025 00:47     32K
oblibs-dbg-0.3.4.0-r0.apk                          02-Jun-2025 00:47     99K
oblibs-dev-0.3.4.0-r0.apk                          02-Jun-2025 00:47    233K
obnc-0.17.2-r0.apk                                 26-May-2025 08:37    134K
obnc-doc-0.17.2-r0.apk                             26-May-2025 08:37     33K
ocaml-camlpdf-2.8.1-r0.apk                         11-May-2025 20:57      6M
ocaml-camomile-1.0.2-r3.apk                        26-Oct-2024 04:07      1M
ocaml-camomile-data-1.0.2-r3.apk                   26-Oct-2024 04:07      5M
ocaml-camomile-dev-1.0.2-r3.apk                    26-Oct-2024 04:07      2M
ocaml-charinfo_width-1.1.0-r3.apk                  26-Oct-2024 04:07    104K
ocaml-charinfo_width-dev-1.1.0-r3.apk              26-Oct-2024 04:07    189K
ocaml-cpdf-2.8.1-r0.apk                            11-May-2025 20:57      5M
ocaml-fileutils-0.6.4-r2.apk                       26-Oct-2024 04:07    314K
ocaml-fileutils-dev-0.6.4-r2.apk                   26-Oct-2024 04:07    598K
ocaml-fileutils-doc-0.6.4-r2.apk                   26-Oct-2024 04:07     16K
ocaml-gettext-0.4.2-r3.apk                         26-Oct-2024 04:07      3M
ocaml-gettext-dev-0.4.2-r3.apk                     26-Oct-2024 04:07    725K
ocaml-gettext-doc-0.4.2-r3.apk                     26-Oct-2024 04:07     19K
ocaml-lambda-term-3.2.0-r4.apk                     26-Oct-2024 04:07      3M
ocaml-lambda-term-dev-3.2.0-r4.apk                 26-Oct-2024 04:07      4M
ocaml-lambda-term-doc-3.2.0-r4.apk                 26-Oct-2024 04:07    8991
ocaml-libvirt-0.6.1.7-r0.apk                       26-Oct-2024 04:07    174K
ocaml-libvirt-dev-0.6.1.7-r0.apk                   26-Oct-2024 04:07     97K
ocaml-libvirt-doc-0.6.1.7-r0.apk                   26-Oct-2024 04:07     14K
ocaml-mew-0.1.0-r3.apk                             26-Oct-2024 04:07     69K
ocaml-mew-dev-0.1.0-r3.apk                         26-Oct-2024 04:07     96K
ocaml-mew_vi-0.5.0-r3.apk                          26-Oct-2024 04:07    185K
ocaml-mew_vi-dev-0.5.0-r3.apk                      26-Oct-2024 04:07    296K
ocaml-mqtt-0.2.2-r0.apk                            26-Oct-2024 04:07    156K
ocaml-mqtt-dev-0.2.2-r0.apk                        26-Oct-2024 04:07    259K
ocaml-obuild-0.1.11-r0.apk                         26-Oct-2024 04:07      1M
ocaml-omake-0.10.6-r0.apk                          26-Oct-2024 04:07      2M
ocaml-omake-doc-0.10.6-r0.apk                      26-Oct-2024 04:07    8207
ocaml-ptmap-2.0.5-r3.apk                           26-Oct-2024 04:08     54K
ocaml-ptmap-dev-2.0.5-r3.apk                       26-Oct-2024 04:08     94K
ocaml-qcheck-0.18.1-r3.apk                         26-Oct-2024 04:08    668K
ocaml-qcheck-dev-0.18.1-r3.apk                     26-Oct-2024 04:08      1M
ocaml-qtest-2.11.2-r3.apk                          26-Oct-2024 04:08    317K
ocaml-qtest-dev-2.11.2-r3.apk                      26-Oct-2024 04:08    3700
ocaml-reason-3.8.2-r2.apk                          25-Oct-2025 13:57     15M
ocaml-reason-dev-3.8.2-r2.apk                      25-Oct-2025 13:57     29M
ocaml-sha-1.15.4-r0.apk                            26-Oct-2024 04:08     89K
ocaml-sha-dev-1.15.4-r0.apk                        26-Oct-2024 04:08    247K
ocaml-trie-1.0.0-r2.apk                            26-Oct-2024 04:08     16K
ocaml-trie-dev-1.0.0-r2.apk                        26-Oct-2024 04:08     25K
ocaml-utop-2.9.1-r4.apk                            26-Oct-2024 04:08    349K
ocaml-utop-dev-2.9.1-r4.apk                        26-Oct-2024 04:08    763K
ocaml-zed-3.1.0-r3.apk                             26-Oct-2024 04:08    518K
ocaml-zed-dev-3.1.0-r3.apk                         26-Oct-2024 04:08      1M
ocfs2-tools-1.8.7-r4.apk                           26-Oct-2024 04:08      1M
ocfs2-tools-dev-1.8.7-r4.apk                       26-Oct-2024 04:08     45K
ocfs2-tools-doc-1.8.7-r4.apk                       26-Oct-2024 04:08     69K
octoprint-1.10.3-r0.apk                            26-Dec-2024 07:04      3M
octoprint-creality2xfix-0.0.4-r2.apk               26-Oct-2024 04:08    4822
octoprint-creality2xfix-pyc-0.0.4-r2.apk           26-Oct-2024 04:08    3413
octoprint-filecheck-2024.11.12-r0.apk              15-Jul-2025 19:28     28K
octoprint-filecheck-pyc-2024.11.12-r0.apk          15-Jul-2025 19:28     12K
octoprint-firmwarecheck-2021.10.11-r2.apk          26-Oct-2024 04:08     29K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      26-Oct-2024 04:08     18K
octoprint-openrc-1.10.3-r0.apk                     26-Dec-2024 07:04    1747
octoprint-pisupport-2023.10.10-r1.apk              26-Oct-2024 04:08     31K
octoprint-pisupport-pyc-2023.10.10-r1.apk          26-Oct-2024 04:08     13K
octoprint-pyc-1.10.3-r0.apk                        26-Dec-2024 07:04      1M
oil-0.21.0-r0.apk                                  26-Oct-2024 04:08      1M
oil-doc-0.21.0-r0.apk                              26-Oct-2024 04:08    7140
oils-for-unix-0.35.0-r0.apk                        29-Sep-2025 07:29    646K
oils-for-unix-bash-0.35.0-r0.apk                   29-Sep-2025 07:29    1720
oils-for-unix-binsh-0.35.0-r0.apk                  29-Sep-2025 07:29    1561
oils-for-unix-doc-0.35.0-r0.apk                    29-Sep-2025 07:29    7190
ol-2.6-r0.apk                                      11-May-2025 20:57      1M
ol-dev-2.6-r0.apk                                  11-May-2025 20:57     16K
ol-doc-2.6-r0.apk                                  11-May-2025 20:57    2647
olsrd-0.9.8-r3.apk                                 26-Oct-2024 04:08    156K
olsrd-doc-0.9.8-r3.apk                             26-Oct-2024 04:08     25K
olsrd-openrc-0.9.8-r3.apk                          26-Oct-2024 04:08    1920
olsrd-plugins-0.9.8-r3.apk                         26-Oct-2024 04:08    164K
onionshare-2.6.3-r1.apk                            18-Nov-2025 00:33    180K
onionshare-desktop-2.6.3-r1.apk                    18-Nov-2025 00:33      1M
onionshare-pyc-2.6.3-r1.apk                        18-Nov-2025 00:33    240K
oniux-0.6.1-r0.apk                                 09-Sep-2025 22:17      5M
oniux-doc-0.6.1-r0.apk                             09-Sep-2025 22:17    3335
opcr-policy-0.3.1-r1.apk                           04-Dec-2025 22:54     10M
openapi-tui-0.9.4-r1.apk                           26-Oct-2024 04:08      4M
openapi-validator-1.19.2-r0.apk                    26-Oct-2024 04:08     10M
openconnect-sso-0.8.0_git20230822-r0.apk           14-May-2025 18:13     29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk       14-May-2025 18:13     29K
opendht-3.1.11-r0.apk                              28-Jan-2025 05:56    162K
opendht-dev-3.1.11-r0.apk                          28-Jan-2025 05:56     71K
opendht-doc-3.1.11-r0.apk                          28-Jan-2025 05:56    3095
opendht-libs-3.1.11-r0.apk                         28-Jan-2025 05:56    512K
openfortivpn-1.22.1-r0.apk                         12-Dec-2024 06:31     38K
openfortivpn-doc-1.22.1-r0.apk                     12-Dec-2024 06:31    6448
openfpgaloader-0.11.0-r0.apk                       26-Oct-2024 04:08      2M
openocd-esp32-0_git20250707-r2.apk                 21-Oct-2025 04:44      2M
openocd-esp32-dev-0_git20250707-r2.apk             21-Oct-2025 04:44    3750
openocd-esp32-doc-0_git20250707-r2.apk             21-Oct-2025 04:44    3292
openocd-esp32-udev-0_git20250707-r2.apk            21-Oct-2025 04:44    3587
openocd-git-0_git20251018-r1.apk                   21-Oct-2025 04:44      2M
openocd-git-cmd-openocd-0_git20251018-r1.apk       21-Oct-2025 04:44    1613
openocd-git-dbg-0_git20251018-r1.apk               21-Oct-2025 04:44      4M
openocd-git-dev-0_git20251018-r1.apk               21-Oct-2025 04:44    3428
openocd-git-doc-0_git20251018-r1.apk               21-Oct-2025 04:44    3292
openocd-git-udev-0_git20251018-r1.apk              21-Oct-2025 04:44    3630
openocd-riscv-0_git20230104-r2.apk                 26-Oct-2024 04:08      1M
openocd-riscv-dev-0_git20230104-r2.apk             26-Oct-2024 04:08    3814
openocd-riscv-doc-0_git20230104-r2.apk             26-Oct-2024 04:08    3367
openocd-riscv-udev-rules-0_git20230104-r2.apk      26-Oct-2024 04:08    3350
openrdap-0.9.1-r0.apk                              25-Jun-2025 00:50      3M
openrdap-doc-0.9.1-r0.apk                          25-Jun-2025 00:50    2279
openscap-daemon-0.1.10-r9.apk                      26-Oct-2024 04:08     60K
openscap-daemon-doc-0.1.10-r9.apk                  26-Oct-2024 04:08     18K
openscap-daemon-pyc-0.1.10-r9.apk                  26-Oct-2024 04:08    102K
openswitcher-0.5.0-r4.apk                          26-Oct-2024 04:08    148K
openswitcher-proxy-0.5.0-r4.apk                    26-Oct-2024 04:08    9607
openswitcher-proxy-openrc-0.5.0-r4.apk             26-Oct-2024 04:08    2084
opentelemetry-cpp-1.24.0-r0.apk                    22-Nov-2025 22:33    551K
opentelemetry-cpp-dev-1.24.0-r0.apk                22-Nov-2025 22:33    521K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r..> 22-Nov-2025 22:33     41K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk 22-Nov-2025 22:33     55K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk 22-Nov-2025 22:33     79K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk    22-Nov-2025 22:33     45K
openterface-qt-0.5.7-r0.apk                        22-Dec-2025 14:32      1M
openvpn3-3.8.5-r1.apk                              22-Feb-2025 21:17    351K
openvpn3-dev-3.8.5-r1.apk                          22-Feb-2025 21:17    667K
openwsman-2.8.1-r1.apk                             02-Jul-2025 03:10     44K
openwsman-dev-2.8.1-r1.apk                         02-Jul-2025 03:10     56K
openwsman-doc-2.8.1-r1.apk                         02-Jul-2025 03:10    2459
openwsman-libs-2.8.1-r1.apk                        02-Jul-2025 03:10    290K
opkg-0.7.0-r0.apk                                  26-Oct-2024 04:08     10K
opkg-dev-0.7.0-r0.apk                              26-Oct-2024 04:08     99K
opkg-doc-0.7.0-r0.apk                              26-Oct-2024 04:08    7835
opkg-libs-0.7.0-r0.apk                             26-Oct-2024 04:08     70K
opkg-utils-0.7.0-r0.apk                            26-Oct-2024 04:08     25K
opkg-utils-doc-0.7.0-r0.apk                        26-Oct-2024 04:08    3706
opmsg-1.84-r1.apk                                  26-Oct-2024 04:08    243K
oppa-1.1.0-r0.apk                                  12-Oct-2025 22:21    472K
optee-client-3.20.0-r0.apk                         26-Oct-2024 04:08     18K
optee-client-dev-3.20.0-r0.apk                     26-Oct-2024 04:08     29K
optee-client-libs-3.20.0-r0.apk                    26-Oct-2024 04:08     30K
orage-4.20.1-r0.apk                                08-Apr-2025 20:49    569K
orage-lang-4.20.1-r0.apk                           08-Apr-2025 20:49      1M
organicmaps-2025.09.05.1-r0.apk                    20-Oct-2025 19:32    125M
osmctools-0.9-r0.apk                               26-Oct-2024 04:08    123K
ostui-1.0.4-r0.apk                                 11-Sep-2025 11:50      5M
ostui-doc-1.0.4-r0.apk                             11-Sep-2025 11:50     28K
otf-0.4.9-r0.apk                                   04-Dec-2025 22:54    1297
otf-agent-0.4.9-r0.apk                             04-Dec-2025 22:54      8M
otf-agent-openrc-0.4.9-r0.apk                      04-Dec-2025 22:54    2045
otf-atkinson-hyperlegible-2020.0514-r1.apk         26-Oct-2024 04:08    102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     26-Oct-2024 04:08     48K
otf-cli-0.4.9-r0.apk                               04-Dec-2025 22:54      8M
otf-server-0.4.9-r0.apk                            04-Dec-2025 22:54     13M
otf-server-openrc-0.4.9-r0.apk                     04-Dec-2025 22:54    2043
otpclient-4.1.1-r0.apk                             24-Jul-2025 22:42    103K
otpclient-doc-4.1.1-r0.apk                         24-Jul-2025 22:42    3734
otrs-6.0.48-r2.apk                                 26-Oct-2024 04:08     29M
otrs-apache2-6.0.48-r2.apk                         26-Oct-2024 04:08    4125
otrs-bash-completion-6.0.48-r2.apk                 26-Oct-2024 04:08    2461
otrs-dev-6.0.48-r2.apk                             26-Oct-2024 04:08      4M
otrs-doc-6.0.48-r2.apk                             26-Oct-2024 04:08    795K
otrs-fastcgi-6.0.48-r2.apk                         26-Oct-2024 04:08    1810
otrs-nginx-6.0.48-r2.apk                           26-Oct-2024 04:08    1833
otrs-openrc-6.0.48-r2.apk                          26-Oct-2024 04:08    1938
otrs-setup-6.0.48-r2.apk                           26-Oct-2024 04:08    107K
ouch-0.6.1-r0.apk                                  28-May-2025 16:38      2M
ouch-bash-completion-0.6.1-r0.apk                  28-May-2025 16:38    2560
ouch-doc-0.6.1-r0.apk                              28-May-2025 16:38    3910
ouch-fish-completion-0.6.1-r0.apk                  28-May-2025 16:38    3106
ouch-zsh-completion-0.6.1-r0.apk                   28-May-2025 16:38    3202
ovhcloud-cli-0.9.0-r0.apk                          14-Dec-2025 19:05      7M
ovn-25.09.2-r0.apk                                 15-Dec-2025 07:05      2M
ovn-dbg-25.09.2-r0.apk                             15-Dec-2025 07:05      7M
ovn-dev-25.09.2-r0.apk                             15-Dec-2025 07:05      2M
ovn-doc-25.09.2-r0.apk                             15-Dec-2025 07:05    264K
ovn-openrc-25.09.2-r0.apk                          15-Dec-2025 07:05    2456
ovos-audio-1.0.1-r0.apk                            16-Jul-2025 05:03    136K
ovos-audio-pyc-1.0.1-r0.apk                        16-Jul-2025 05:03     36K
ovos-core-2.1.0-r0.apk                             02-Nov-2025 23:52     49K
ovos-core-pyc-2.1.0-r0.apk                         02-Nov-2025 23:52     58K
ovos-gui-1.3.3-r0.apk                              16-Jul-2025 05:03     38K
ovos-gui-pyc-1.3.3-r0.apk                          16-Jul-2025 05:03     38K
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 16:43     10K
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 16:43    6811
ovos-phal-0.2.10-r0.apk                            16-Jul-2025 04:29     10K
ovos-phal-pyc-0.2.10-r0.apk                        16-Jul-2025 04:29    7414
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         26-Oct-2024 04:08     46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     26-Oct-2024 04:08    4063
ovpncc-0.1_rc1-r0.apk                              26-Oct-2024 04:08     12K
ovpncc-doc-0.1_rc1-r0.apk                          26-Oct-2024 04:08    6671
oxygen-icons-6.1.0-r0.apk                          26-Oct-2024 04:08     32M
p0f-3.09b-r3.apk                                   26-Oct-2024 04:08     82K
p0f-doc-3.09b-r3.apk                               26-Oct-2024 04:08     25K
p910nd-0.97-r2.apk                                 26-Oct-2024 04:08    6881
p910nd-doc-0.97-r2.apk                             26-Oct-2024 04:08    3055
p910nd-openrc-0.97-r2.apk                          26-Oct-2024 04:08    1881
packwiz-0_git20251102-r1.apk                       04-Dec-2025 22:54      5M
packwiz-doc-0_git20251102-r1.apk                   04-Dec-2025 22:54    2309
pacparser-1.4.5-r1.apk                             26-Oct-2024 04:08    680K
pacparser-dev-1.4.5-r1.apk                         26-Oct-2024 04:08    3685
pacparser-doc-1.4.5-r1.apk                         26-Oct-2024 04:08     18K
paged-markdown-3-pdf-0.1.3-r0.apk                  28-May-2025 23:23    393K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk  28-May-2025 23:23    1908
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk  28-May-2025 23:23    1827
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk   28-May-2025 23:23    1901
pam-krb5-4.11-r1.apk                               26-Oct-2024 04:08     20K
pam-krb5-doc-4.11-r1.apk                           26-Oct-2024 04:08     24K
pam-pkcs11-0.6.13-r1.apk                           18-Nov-2025 00:33    217K
pam-pkcs11-doc-0.6.13-r1.apk                       18-Nov-2025 00:33     14K
pam-pkcs11-systemd-0.6.13-r1.apk                   18-Nov-2025 00:33    1800
pam_sqlite3-1.0.2-r2.apk                           26-Oct-2024 04:08    7964
pamtester-0.1.2-r4.apk                             26-Oct-2024 04:08    8194
pamtester-doc-0.1.2-r4.apk                         26-Oct-2024 04:08    2955
pandora_box-0.17.0-r0.apk                          21-Dec-2025 04:19    368K
pantalaimon-0.10.5-r4.apk                          26-Oct-2024 04:08     45K
pantalaimon-doc-0.10.5-r4.apk                      26-Oct-2024 04:08    6531
pantalaimon-pyc-0.10.5-r4.apk                      26-Oct-2024 04:08     83K
pantalaimon-ui-0.10.5-r4.apk                       26-Oct-2024 04:08    1757
paperde-0.3.0-r2.apk                               21-Aug-2025 16:56    578K
paperde-dev-0.3.0-r2.apk                           21-Aug-2025 16:56    5091
paperkey-1.6-r2.apk                                26-Oct-2024 04:08     15K
paperkey-doc-1.6-r2.apk                            26-Oct-2024 04:08    4600
paprefs-1.2-r2.apk                                 23-Nov-2024 07:07     28K
paprefs-lang-1.2-r2.apk                            23-Nov-2024 07:07     38K
par-1.53.0-r1.apk                                  26-Oct-2024 04:08     13K
par-doc-1.53.0-r1.apk                              26-Oct-2024 04:08     30K
par2cmdline-turbo-1.3.0-r0.apk                     11-May-2025 20:57    162K
par2cmdline-turbo-doc-1.3.0-r0.apk                 11-May-2025 20:57    6050
parcellite-1.2.5-r0.apk                            26-Oct-2024 04:08    221K
parcellite-doc-1.2.5-r0.apk                        26-Oct-2024 04:08     25K
parcellite-lang-1.2.5-r0.apk                       26-Oct-2024 04:08     49K
parpar-0.4.5-r0.apk                                13-Oct-2025 06:02      2M
parse-changelog-0.6.12-r0.apk                      19-May-2025 07:20    502K
pash-2.3.0-r2.apk                                  26-Oct-2024 04:08    4389
pasystray-0.8.2-r0.apk                             26-Oct-2024 04:08     43K
pasystray-doc-0.8.2-r0.apk                         26-Oct-2024 04:08    3411
pcl-1.14.1-r0.apk                                  22-Feb-2025 21:17    403K
pcl-dev-1.14.1-r0.apk                              22-Feb-2025 21:17    387K
pcl-libs-1.14.1-r0.apk                             22-Feb-2025 21:17      1M
pdal-python-plugins-1.6.5-r0.apk                   22-Jun-2025 06:46    212K
pdf2svg-0.2.4-r0.apk                               29-Sep-2025 03:49    4415
pdfcrack-0.21-r0.apk                               20-Nov-2025 12:26     28K
pebble-le-0.3.0-r2.apk                             15-Dec-2024 04:23     59K
pebble-le-dev-0.3.0-r2.apk                         15-Dec-2024 04:23     41K
pebble-le-doc-0.3.0-r2.apk                         15-Dec-2024 04:23    3769
peervpn-0.044-r5.apk                               26-Oct-2024 04:08     36K
peervpn-openrc-0.044-r5.apk                        26-Oct-2024 04:08    1829
peg-0.1.18-r1.apk                                  26-Oct-2024 04:08     32K
peg-doc-0.1.18-r1.apk                              26-Oct-2024 04:08     14K
pegasus-frontend-16_alpha-r0.apk                   26-Oct-2024 04:08      1M
pegasus-frontend-doc-16_alpha-r0.apk               26-Oct-2024 04:08     16K
percona-toolkit-3.5.4-r1.apk                       26-Oct-2024 04:08      2M
percona-toolkit-doc-3.5.4-r1.apk                   26-Oct-2024 04:08    298K
perl-adapter-async-0.019-r0.apk                    26-Oct-2024 04:08    8307
perl-adapter-async-doc-0.019-r0.apk                26-Oct-2024 04:08     17K
perl-algorithm-backoff-0.010-r0.apk                26-Oct-2024 04:08    9816
perl-algorithm-backoff-doc-0.010-r0.apk            26-Oct-2024 04:08     29K
perl-algorithm-c3-0.11-r1.apk                      26-Oct-2024 04:08    5767
perl-algorithm-c3-doc-0.11-r1.apk                  26-Oct-2024 04:08    5195
perl-algorithm-cron-0.10-r4.apk                    26-Oct-2024 04:08    6229
perl-algorithm-cron-doc-0.10-r4.apk                26-Oct-2024 04:08    4680
perl-algorithm-evolutionary-0.82.1-r0.apk          26-Oct-2024 04:08     79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      26-Oct-2024 04:08    148K
perl-algorithm-permute-0.17-r1.apk                 02-Jul-2025 03:10     11K
perl-algorithm-permute-doc-0.17-r1.apk             02-Jul-2025 03:10    5238
perl-aliased-0.34-r4.apk                           26-Oct-2024 04:08    5770
perl-aliased-doc-0.34-r4.apk                       26-Oct-2024 04:08    5780
perl-alien-base-modulebuild-1.17-r0.apk            09-Jun-2025 01:07     22K
perl-alien-base-modulebuild-doc-1.17-r0.apk        09-Jun-2025 01:07     53K
perl-alien-libgumbo-0.05-r1.apk                    02-Jul-2025 03:10    538K
perl-alien-libgumbo-doc-0.05-r1.apk                02-Jul-2025 03:10    4939
perl-anyevent-dbus-0.31-r0.apk                     13-Dec-2025 16:33    3513
perl-anyevent-dbus-doc-0.31-r0.apk                 13-Dec-2025 16:33    3850
perl-anyevent-dns-etchosts-0.0105-r0.apk           26-Oct-2024 04:08    5207
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       26-Oct-2024 04:08    4059
perl-anyevent-riperedis-0.48-r0.apk                26-Oct-2024 04:08     12K
perl-anyevent-riperedis-doc-0.48-r0.apk            26-Oct-2024 04:08     10K
perl-archive-any-lite-0.11-r0.apk                  15-Jul-2025 19:28    4222
perl-archive-any-lite-doc-0.11-r0.apk              15-Jul-2025 19:28    3782
perl-archive-extract-0.88-r1.apk                   26-Oct-2024 04:08     16K
perl-archive-extract-doc-0.88-r1.apk               26-Oct-2024 04:08    6968
perl-array-diff-0.09-r0.apk                        10-Jul-2025 11:28    3407
perl-array-diff-doc-0.09-r0.apk                    10-Jul-2025 11:28    3977
perl-asa-1.04-r0.apk                               12-Jun-2025 01:34    4421
perl-asa-doc-1.04-r0.apk                           12-Jun-2025 01:34    5115
perl-astro-0.78-r0.apk                             24-Jul-2025 22:42     32K
perl-astro-coords-0.23-r0.apk                      09-Oct-2025 08:50     53K
perl-astro-coords-doc-0.23-r0.apk                  09-Oct-2025 08:50     40K
perl-astro-doc-0.78-r0.apk                         24-Jul-2025 22:42     14K
perl-astro-montenbruck-1.26-r0.apk                 24-Jul-2025 22:42     53K
perl-astro-montenbruck-doc-1.26-r0.apk             24-Jul-2025 22:42     60K
perl-astro-pal-1.09-r0.apk                         24-Jul-2025 22:42    199K
perl-astro-pal-doc-1.09-r0.apk                     24-Jul-2025 22:42    7539
perl-astro-satpass-0.134-r0.apk                    19-Sep-2025 23:53    218K
perl-astro-satpass-doc-0.134-r0.apk                19-Sep-2025 23:53    133K
perl-astro-telescope-0.71-r0.apk                   24-Jul-2025 22:42     48K
perl-astro-telescope-doc-0.71-r0.apk               24-Jul-2025 22:42    5122
perl-autobox-3.0.2-r1.apk                          02-Jul-2025 03:10     18K
perl-autobox-doc-3.0.2-r1.apk                      02-Jul-2025 03:10    9114
perl-b-hooks-op-check-0.22-r1.apk                  02-Jul-2025 03:10    6337
perl-b-hooks-op-check-doc-0.22-r1.apk              02-Jul-2025 03:10    3858
perl-b-utils-0.27-r1.apk                           02-Jul-2025 03:10     18K
perl-b-utils-doc-0.27-r1.apk                       02-Jul-2025 03:10    9742
perl-badger-0.16-r1.apk                            12-Nov-2025 08:13    253K
perl-badger-doc-0.16-r1.apk                        12-Nov-2025 08:13    263K
perl-barcode-zbar-0.10-r4.apk                      02-Jul-2025 03:10     28K
perl-barcode-zbar-doc-0.10-r4.apk                  02-Jul-2025 03:10     13K
perl-bareword-filehandles-0.007-r1.apk             02-Jul-2025 03:10    5573
perl-bareword-filehandles-doc-0.007-r1.apk         02-Jul-2025 03:10    3318
perl-bind-config-parser-0.01-r5.apk                26-Oct-2024 04:08    3951
perl-bind-config-parser-doc-0.01-r5.apk            26-Oct-2024 04:08    3693
perl-bsd-resource-1.2911-r11.apk                   02-Jul-2025 03:10     18K
perl-bsd-resource-doc-1.2911-r11.apk               02-Jul-2025 03:10    8121
perl-bytes-random-secure-0.29-r0.apk               26-Oct-2024 04:08     14K
perl-bytes-random-secure-doc-0.29-r0.apk           26-Oct-2024 04:08     12K
perl-cache-lru-0.04-r0.apk                         26-Oct-2024 04:08    3015
perl-cache-lru-doc-0.04-r0.apk                     26-Oct-2024 04:08    3275
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 23:57    9183
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 23:57    7976
perl-carp-repl-0.18-r0.apk                         11-May-2025 20:57    6101
perl-carp-repl-doc-0.18-r0.apk                     11-May-2025 20:57    6009
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 23:53    3892
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 23:53    4141
perl-catalyst-action-rest-1.21-r0.apk              31-Mar-2025 21:42     25K
perl-catalyst-action-rest-doc-1.21-r0.apk          31-Mar-2025 21:42     27K
perl-catalyst-actionrole-acl-0.07-r0.apk           11-May-2025 20:57    4438
perl-catalyst-actionrole-acl-doc-0.07-r0.apk       11-May-2025 20:57    5884
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 14:39    8815
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 14:39    6924
perl-catalyst-authentication-store-dbix-class-0..> 12-Jun-2025 01:35     13K
perl-catalyst-authentication-store-dbix-class-d..> 12-Jun-2025 01:35     14K
perl-catalyst-component-instancepercontext-0.00..> 20-Jun-2025 13:10    2780
perl-catalyst-component-instancepercontext-doc-..> 20-Jun-2025 13:10    3368
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 14:41    5105
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 14:41    4551
perl-catalyst-devel-1.42-r0.apk                    21-Mar-2025 01:04     54K
perl-catalyst-devel-doc-1.42-r0.apk                21-Mar-2025 01:04     11K
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 14:22    2746
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 14:22    375K
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 23:57    6642
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 23:57     12K
perl-catalyst-model-dbic-schema-0.66-r0.apk        12-Jun-2025 01:35     19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk    12-Jun-2025 01:35     18K
perl-catalyst-plugin-authentication-0.10024-r0.apk 30-Mar-2025 03:40     32K
perl-catalyst-plugin-authentication-doc-0.10024..> 30-Mar-2025 03:40     56K
perl-catalyst-plugin-configloader-0.35-r0.apk      21-Mar-2025 01:04    5514
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  21-Mar-2025 01:04     10K
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 18:42    4220
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 18:42     13K
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 20:56     14K
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 20:56     25K
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 13:32    4969
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 13:32    4964
perl-catalyst-plugin-session-store-dbic-0.14-r0..> 14-Jun-2025 03:17    6025
perl-catalyst-plugin-session-store-dbic-doc-0.1..> 14-Jun-2025 03:17    6588
perl-catalyst-plugin-session-store-delegate-0.0..> 14-Jun-2025 03:17    4588
perl-catalyst-plugin-session-store-delegate-doc..> 14-Jun-2025 03:17    4635
perl-catalyst-plugin-session-store-file-0.18-r0..> 14-Jun-2025 11:46    3732
perl-catalyst-plugin-session-store-file-doc-0.1..> 14-Jun-2025 11:46    4107
perl-catalyst-plugin-stacktrace-0.12-r0.apk        14-Jun-2025 11:46    4783
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk    14-Jun-2025 11:46    3975
perl-catalyst-plugin-static-simple-0.37-r0.apk     21-Mar-2025 01:04    8903
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 21-Mar-2025 01:04    7590
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 20:44    150K
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 20:44    216K
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 18:42    9296
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 18:42     11K
perl-catalyst-view-tt-0.46-r0.apk                  13-Apr-2025 00:51     14K
perl-catalyst-view-tt-doc-0.46-r0.apk              13-Apr-2025 00:51     13K
perl-catalystx-component-traits-0.19-r0.apk        11-May-2025 20:57    4423
perl-catalystx-component-traits-doc-0.19-r0.apk    11-May-2025 20:57    4094
perl-catalystx-injectcomponent-0.025-r0.apk        11-May-2025 20:57    3496
perl-catalystx-injectcomponent-doc-0.025-r0.apk    11-May-2025 20:57    3671
perl-catalystx-leakchecker-0.06-r0.apk             15-Jun-2025 13:46    3455
perl-catalystx-leakchecker-doc-0.06-r0.apk         15-Jun-2025 13:46    3599
perl-catalystx-profile-0.02-r0.apk                 15-Jun-2025 13:46    3149
perl-catalystx-profile-doc-0.02-r0.apk             15-Jun-2025 13:46    4652
perl-catalystx-repl-0.04-r0.apk                    11-May-2025 20:57    3381
perl-catalystx-repl-doc-0.04-r0.apk                11-May-2025 20:57    3710
perl-catalystx-simplelogin-0.21-r0.apk             11-May-2025 20:57     11K
perl-catalystx-simplelogin-doc-0.21-r0.apk         11-May-2025 20:57     24K
perl-cgi-expand-2.05-r4.apk                        26-Oct-2024 04:08    7014
perl-cgi-expand-doc-2.05-r4.apk                    26-Oct-2024 04:08    6281
perl-cgi-simple-1.282-r0.apk                       30-Aug-2025 13:17     56K
perl-cgi-simple-doc-1.282-r0.apk                   30-Aug-2025 13:17     43K
perl-cgi-struct-1.21-r0.apk                        15-Mar-2025 01:31    8020
perl-cgi-struct-doc-1.21-r0.apk                    15-Mar-2025 01:31    6942
perl-check-unitcheck-0.13-r2.apk                   02-Jul-2025 03:10    5716
perl-check-unitcheck-doc-0.13-r2.apk               02-Jul-2025 03:10    3699
perl-class-accessor-grouped-0.10014-r2.apk         26-Oct-2024 04:08     12K
perl-class-accessor-grouped-doc-0.10014-r2.apk     26-Oct-2024 04:08    7633
perl-class-c3-0.35-r1.apk                          26-Oct-2024 04:08    9664
perl-class-c3-adopt-next-0.14-r0.apk               15-Mar-2025 01:31    5204
perl-class-c3-adopt-next-doc-0.14-r0.apk           15-Mar-2025 01:31    4789
perl-class-c3-componentised-1.001002-r2.apk        26-Oct-2024 04:08    5633
perl-class-c3-componentised-doc-1.001002-r2.apk    26-Oct-2024 04:08    5439
perl-class-c3-doc-0.35-r1.apk                      26-Oct-2024 04:08    9479
perl-class-unload-0.11-r0.apk                      05-Jun-2025 04:41    2649
perl-class-unload-doc-0.11-r0.apk                  05-Jun-2025 04:41    3141
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 13:54     13K
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 13:54     12K
perl-clipboard-0.32-r1.apk                         14-Oct-2025 00:50     10K
perl-clipboard-doc-0.32-r1.apk                     14-Oct-2025 00:50     27K
perl-color-ansi-util-0.165-r0.apk                  26-Oct-2024 04:08    7458
perl-color-ansi-util-doc-0.165-r0.apk              26-Oct-2024 04:08    5285
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 20:44    9683
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 20:44    7536
perl-conf-libconfig-1.0.3-r2.apk                   02-Jul-2025 03:10     21K
perl-conf-libconfig-doc-1.0.3-r2.apk               02-Jul-2025 03:10    5637
perl-constant-defer-6-r5.apk                       26-Oct-2024 04:08    7562
perl-constant-defer-doc-6-r5.apk                   26-Oct-2024 04:08    7099
perl-constant-generate-0.17-r5.apk                 26-Oct-2024 04:08    8943
perl-constant-generate-doc-0.17-r5.apk             26-Oct-2024 04:08    7190
perl-context-preserve-0.03-r4.apk                  26-Oct-2024 04:08    3936
perl-context-preserve-doc-0.03-r4.apk              26-Oct-2024 04:08    4290
perl-cpan-audit-20250829.001-r0.apk                09-Sep-2025 22:17     14K
perl-cpan-audit-doc-20250829.001-r0.apk            09-Sep-2025 22:17     12K
perl-cpan-changes-0.500005-r0.apk                  16-Aug-2025 23:16     13K
perl-cpan-changes-doc-0.500005-r0.apk              16-Aug-2025 23:16     18K
perl-cpansa-db-20250807.001-r0.apk                 01-Sep-2025 22:16      1M
perl-cpansa-db-doc-20250807.001-r0.apk             01-Sep-2025 22:16    5704
perl-crypt-blowfish-2.14-r1.apk                    02-Jul-2025 03:10     12K
perl-crypt-blowfish-doc-2.14-r1.apk                02-Jul-2025 03:10    4171
perl-crypt-random-seed-0.03-r0.apk                 26-Oct-2024 04:08     11K
perl-crypt-random-seed-doc-0.03-r0.apk             26-Oct-2024 04:08    8994
perl-crypt-saltedhash-0.09-r5.apk                  26-Oct-2024 04:08    7089
perl-crypt-saltedhash-doc-0.09-r5.apk              26-Oct-2024 04:08    6490
perl-css-inliner-4027-r0.apk                       20-Jun-2025 13:10     16K
perl-css-inliner-doc-4027-r0.apk                   20-Jun-2025 13:10    9670
perl-css-object-0.2.0-r0.apk                       26-Oct-2024 04:08     23K
perl-css-object-doc-0.2.0-r0.apk                   26-Oct-2024 04:08     33K
perl-daemon-control-0.001010-r2.apk                26-Oct-2024 04:08     12K
perl-daemon-control-doc-0.001010-r2.apk            26-Oct-2024 04:08    8424
perl-dancer-plugin-auth-extensible-1.00-r5.apk     26-Oct-2024 04:08     15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 26-Oct-2024 04:08     15K
perl-dancer-plugin-passphrase-2.0.1-r4.apk         26-Oct-2024 04:08    9911
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     26-Oct-2024 04:08    8639
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 13:54    163K
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 13:54    301K
perl-data-binary-0.01-r0.apk                       10-Jul-2025 11:28    2834
perl-data-binary-doc-0.01-r0.apk                   10-Jul-2025 11:28    3158
perl-data-checks-0.11-r0.apk                       26-Nov-2025 17:23     20K
perl-data-checks-doc-0.11-r0.apk                   26-Nov-2025 17:23    8684
perl-data-clone-0.006-r1.apk                       02-Jul-2025 03:10    9090
perl-data-clone-doc-0.006-r1.apk                   02-Jul-2025 03:10    4643
perl-data-dump-streamer-2.42-r1.apk                02-Jul-2025 03:10     48K
perl-data-dump-streamer-doc-2.42-r1.apk            02-Jul-2025 03:10     17K
perl-data-section-0.200008-r0.apk                  08-Jul-2025 02:02    6570
perl-data-section-doc-0.200008-r0.apk              08-Jul-2025 02:02    5753
perl-data-validate-domain-0.15-r0.apk              26-Oct-2024 04:08    5964
perl-data-validate-domain-doc-0.15-r0.apk          26-Oct-2024 04:08    5581
perl-data-validate-ip-0.31-r1.apk                  26-Oct-2024 04:08    9021
perl-data-validate-ip-doc-0.31-r1.apk              26-Oct-2024 04:08    5983
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 23:53     10K
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 23:53    8508
perl-database-async-0.019-r0.apk                   26-Oct-2024 04:08     23K
perl-database-async-doc-0.019-r0.apk               26-Oct-2024 04:08     29K
perl-database-async-engine-postgresql-1.005-r0.apk 26-Oct-2024 04:08     14K
perl-database-async-engine-postgresql-doc-1.005..> 26-Oct-2024 04:08    9537
perl-date-range-1.41-r0.apk                        09-Jul-2025 01:53    3839
perl-date-range-doc-1.41-r0.apk                    09-Jul-2025 01:53    4138
perl-datetime-astro-1.04-r0.apk                    24-Jul-2025 22:42     33K
perl-datetime-astro-doc-1.04-r0.apk                24-Jul-2025 22:42    6157
perl-datetime-format-atom-1.8.0-r0.apk             06-Jan-2025 00:08    3226
perl-datetime-format-atom-doc-1.8.0-r0.apk         06-Jan-2025 00:08    3859
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 22:26     18K
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 22:26     12K
perl-datetime-format-rfc3339-1.10.0-r0.apk         06-Jan-2025 00:08    4492
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     06-Jan-2025 00:08    4236
perl-datetime-set-0.3900-r0.apk                    24-Jul-2025 22:42     20K
perl-datetime-set-doc-0.3900-r0.apk                24-Jul-2025 22:42     18K
perl-datetime-timezone-alias-0.06-r0.apk           26-Oct-2024 04:08    2574
perl-datetime-timezone-alias-doc-0.06-r0.apk       26-Oct-2024 04:08    7786
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 26-Oct-2024 04:08     12K
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 26-Oct-2024 04:08     15K
perl-dbix-class-0.082844-r0.apk                    17-Jan-2025 02:26    355K
perl-dbix-class-cursor-cached-1.001004-r0.apk      10-Jun-2025 01:27    3292
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk  10-Jun-2025 01:27    3121
perl-dbix-class-doc-0.082844-r0.apk                17-Jan-2025 02:26    421K
perl-dbix-class-schema-loader-0.07053-r0.apk       10-Jun-2025 01:27     97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk   10-Jun-2025 01:27     77K
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 15:37     15K
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 15:37     22K
perl-dbix-datasource-0.02-r5.apk                   26-Oct-2024 04:08    4382
perl-dbix-datasource-doc-0.02-r5.apk               26-Oct-2024 04:08    7699
perl-dbix-introspector-0.001005-r4.apk             26-Oct-2024 04:08    8218
perl-dbix-introspector-doc-0.001005-r4.apk         26-Oct-2024 04:08    8638
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 15:37     18K
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 15:37     18K
perl-devel-confess-0.009004-r0.apk                 26-Oct-2024 04:08     11K
perl-devel-confess-doc-0.009004-r0.apk             26-Oct-2024 04:08    6791
perl-devel-leak-0.03-r14.apk                       02-Jul-2025 03:10    6314
perl-devel-leak-doc-0.03-r14.apk                   02-Jul-2025 03:10    3427
perl-devel-nytprof-6.14-r1.apk                     02-Jul-2025 03:10    378K
perl-devel-nytprof-doc-6.14-r1.apk                 02-Jul-2025 03:10     51K
perl-devel-refcount-0.10-r2.apk                    02-Jul-2025 03:10    6056
perl-devel-refcount-doc-0.10-r2.apk                02-Jul-2025 03:10    4405
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 23:50     28K
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 23:50     60K
perl-devel-stacktrace-withlexicals-2.01-r0.apk     11-May-2025 20:57    3748
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 11-May-2025 20:57    3506
perl-devel-trace-0.12-r0.apk                       07-Jul-2025 04:07    3443
perl-devel-trace-doc-0.12-r0.apk                   07-Jul-2025 04:07    3554
perl-digest-bcrypt-1.212-r1.apk                    26-Oct-2024 04:08    5685
perl-digest-bcrypt-doc-1.212-r1.apk                26-Oct-2024 04:08    5275
perl-digest-crc-0.24-r2.apk                        02-Jul-2025 03:10    9609
perl-digest-crc-doc-0.24-r2.apk                    02-Jul-2025 03:10    3364
perl-dns-unbound-0.29-r2.apk                       02-Jul-2025 03:10     22K
perl-dns-unbound-anyevent-0.29-r2.apk              02-Jul-2025 03:10    2399
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk  02-Jul-2025 03:10    1992
perl-dns-unbound-doc-0.29-r2.apk                   02-Jul-2025 03:10     15K
perl-dns-unbound-ioasync-0.29-r2.apk               02-Jul-2025 03:10    2473
perl-dns-unbound-mojo-0.29-r2.apk                  02-Jul-2025 03:10    2714
perl-email-abstract-3.010-r0.apk                   26-Oct-2024 04:08    7820
perl-email-abstract-doc-3.010-r0.apk               26-Oct-2024 04:08     13K
perl-email-mime-attachment-stripper-1.317-r5.apk   26-Oct-2024 04:08    4045
perl-email-mime-attachment-stripper-doc-1.317-r..> 26-Oct-2024 04:08    3890
perl-email-reply-1.204-r5.apk                      26-Oct-2024 04:08    6274
perl-email-reply-doc-1.204-r5.apk                  26-Oct-2024 04:08    4902
perl-email-sender-2.601-r0.apk                     04-Apr-2025 18:42     25K
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 18:42     42K
perl-encode-detect-1.01-r1.apk                     02-Jul-2025 03:10     68K
perl-encode-detect-doc-1.01-r1.apk                 02-Jul-2025 03:10    4962
perl-ev-hiredis-0.07-r3.apk                        02-Jul-2025 03:10     12K
perl-ev-hiredis-doc-0.07-r3.apk                    02-Jul-2025 03:10    4336
perl-expect-1.38-r0.apk                            20-Apr-2025 04:01     32K
perl-expect-doc-1.38-r0.apk                        20-Apr-2025 04:01     20K
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 13:38    5620
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 13:38    5002
perl-extutils-makemaker-7.76-r0.apk                16-Aug-2025 23:18    174K
perl-extutils-xsbuilder-0.28-r5.apk                26-Oct-2024 04:08     43K
perl-extutils-xsbuilder-doc-0.28-r5.apk            26-Oct-2024 04:08     21K
perl-feed-find-0.13-r0.apk                         26-Oct-2024 04:08    3922
perl-feed-find-doc-0.13-r0.apk                     26-Oct-2024 04:08    3810
perl-ffi-c-0.15-r0.apk                             26-Oct-2024 04:08     20K
perl-ffi-c-doc-0.15-r0.apk                         26-Oct-2024 04:08     29K
perl-ffi-platypus-2.10-r1.apk                      02-Jul-2025 03:10    175K
perl-ffi-platypus-doc-2.10-r1.apk                  02-Jul-2025 03:10    148K
perl-ffi-platypus-type-enum-0.06-r0.apk            26-Oct-2024 04:08    5303
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        26-Oct-2024 04:08    5258
perl-file-changenotify-0.31-r0.apk                 21-Mar-2025 01:04     12K
perl-file-changenotify-doc-0.31-r0.apk             21-Mar-2025 01:04     14K
perl-file-find-object-0.3.9-r0.apk                 15-Jul-2025 19:28    9378
perl-file-find-object-doc-0.3.9-r0.apk             15-Jul-2025 19:28     13K
perl-file-mmagic-xs-0.09008-r5.apk                 02-Jul-2025 03:10     26K
perl-file-mmagic-xs-doc-0.09008-r5.apk             02-Jul-2025 03:10    4362
perl-file-rename-2.02-r0.apk                       26-Oct-2024 04:08    7701
perl-file-rename-doc-2.02-r0.apk                   26-Oct-2024 04:08     12K
perl-file-treecreate-0.0.1-r0.apk                  15-Jul-2025 19:28    4114
perl-file-treecreate-doc-0.0.1-r0.apk              15-Jul-2025 19:28    4502
perl-finance-quote-1.68-r0.apk                     22-Dec-2025 19:54    114K
perl-finance-quote-doc-1.68-r0.apk                 22-Dec-2025 19:54     90K
perl-flowd-0.9.1-r11.apk                           02-Jul-2025 03:10     21K
perl-flowd-doc-0.9.1-r11.apk                       02-Jul-2025 03:10    3330
perl-freezethaw-0.5001-r3.apk                      18-Oct-2025 19:32     10K
perl-freezethaw-doc-0.5001-r3.apk                  18-Oct-2025 19:32    5844
perl-full-1.004-r0.apk                             26-Oct-2024 04:08    7284
perl-full-doc-1.004-r0.apk                         26-Oct-2024 04:08     10K
perl-future-asyncawait-hooks-0.02-r1.apk           02-Jul-2025 03:10    7598
perl-future-asyncawait-hooks-doc-0.02-r1.apk       02-Jul-2025 03:10    3422
perl-future-http-0.17-r0.apk                       26-Oct-2024 04:08    9456
perl-future-http-doc-0.17-r0.apk                   26-Oct-2024 04:08     16K
perl-future-q-0.120-r0.apk                         26-Oct-2024 04:08    9816
perl-future-q-doc-0.120-r0.apk                     26-Oct-2024 04:08    9311
perl-future-queue-0.52-r0.apk                      26-Oct-2024 04:08    4167
perl-future-queue-doc-0.52-r0.apk                  26-Oct-2024 04:08    4353
perl-gearman-2.004.015-r3.apk                      26-Oct-2024 04:08     27K
perl-gearman-doc-2.004.015-r3.apk                  26-Oct-2024 04:08     20K
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 18:39     15K
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 18:39     11K
perl-getopt-tabular-0.3-r4.apk                     26-Oct-2024 04:08     23K
perl-getopt-tabular-doc-0.3-r4.apk                 26-Oct-2024 04:08     17K
perl-git-raw-0.90-r4.apk                           02-Jul-2025 03:10    153K
perl-git-raw-doc-0.90-r4.apk                       02-Jul-2025 03:10    119K
perl-git-repository-1.325-r0.apk                   26-Oct-2024 04:08     16K
perl-git-repository-doc-1.325-r0.apk               26-Oct-2024 04:08     31K
perl-git-version-compare-1.005-r0.apk              26-Oct-2024 04:08    5512
perl-git-version-compare-doc-1.005-r0.apk          26-Oct-2024 04:08    4959
perl-glib-ex-objectbits-17-r0.apk                  26-Oct-2024 04:08     15K
perl-glib-ex-objectbits-doc-17-r0.apk              26-Oct-2024 04:08     22K
perl-graphql-client-0.605-r0.apk                   26-Oct-2024 04:08    7297
perl-graphql-client-cli-0.605-r0.apk               26-Oct-2024 04:08    7988
perl-graphql-client-doc-0.605-r0.apk               26-Oct-2024 04:08     14K
perl-gtk2-1.24993-r7.apk                           02-Jul-2025 03:10    778K
perl-gtk2-doc-1.24993-r7.apk                       02-Jul-2025 03:10    679K
perl-gtk2-ex-listmodelconcat-11-r4.apk             26-Oct-2024 04:08     13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         26-Oct-2024 04:08    7451
perl-gtk2-ex-widgetbits-48-r3.apk                  26-Oct-2024 04:08     66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk              26-Oct-2024 04:08     81K
perl-guard-1.023-r10.apk                           02-Jul-2025 03:10    8146
perl-guard-doc-1.023-r10.apk                       02-Jul-2025 03:10    5470
perl-hash-merge-extra-0.06-r0.apk                  21-Jun-2025 00:18    3211
perl-hash-merge-extra-doc-0.06-r0.apk              21-Jun-2025 00:18    3449
perl-hash-ordered-0.014-r0.apk                     26-Oct-2024 04:08     10K
perl-hash-ordered-doc-0.014-r0.apk                 26-Oct-2024 04:08     19K
perl-html-formatexternal-26-r0.apk                 07-Jun-2025 23:55     17K
perl-html-formatexternal-doc-26-r0.apk             07-Jun-2025 23:55     23K
perl-html-formhandler-0.40068-r0.apk               11-May-2025 20:57    135K
perl-html-formhandler-doc-0.40068-r0.apk           11-May-2025 20:57    323K
perl-html-gumbo-0.18-r2.apk                        02-Jul-2025 03:10     13K
perl-html-gumbo-doc-0.18-r2.apk                    02-Jul-2025 03:10    5556
perl-html-object-0.6.0-r0.apk                      17-Oct-2025 01:25    343K
perl-html-object-doc-0.6.0-r0.apk                  17-Oct-2025 01:25    474K
perl-html-query-0.09-r0.apk                        20-Jun-2025 13:10     14K
perl-html-query-doc-0.09-r0.apk                    20-Jun-2025 13:10     10K
perl-html-selector-xpath-0.28-r0.apk               26-Oct-2024 04:08    6342
perl-html-selector-xpath-doc-0.28-r0.apk           26-Oct-2024 04:08    4145
perl-html-tableextract-2.15-r4.apk                 26-Oct-2024 04:08     18K
perl-html-tableextract-doc-2.15-r4.apk             26-Oct-2024 04:08     10K
perl-html-treebuilder-xpath-0.14-r0.apk            10-Jul-2025 11:28    7992
perl-html-treebuilder-xpath-doc-0.14-r0.apk        10-Jul-2025 11:28    4311
perl-http-headers-actionpack-0.09-r0.apk           14-Jun-2025 03:17     18K
perl-http-headers-actionpack-doc-0.09-r0.apk       14-Jun-2025 03:17     40K
perl-http-thin-0.006-r0.apk                        26-Oct-2024 04:08    3137
perl-http-thin-doc-0.006-r0.apk                    26-Oct-2024 04:08    3510
perl-http-xsheaders-0.400005-r2.apk                02-Jul-2025 03:10     16K
perl-http-xsheaders-doc-0.400005-r2.apk            02-Jul-2025 03:10    6514
perl-i18n-langinfo-wide-9-r4.apk                   26-Oct-2024 04:08    4301
perl-i18n-langinfo-wide-doc-9-r4.apk               26-Oct-2024 04:08    4165
perl-imager-1.028-r1.apk                           02-Jul-2025 03:10    477K
perl-imager-doc-1.028-r1.apk                       02-Jul-2025 03:10    286K
perl-indirect-0.39-r2.apk                          02-Jul-2025 03:10     14K
perl-indirect-doc-0.39-r2.apk                      02-Jul-2025 03:10    6562
perl-io-handle-util-0.02-r0.apk                    12-Jun-2025 01:34     11K
perl-io-handle-util-doc-0.02-r0.apk                12-Jun-2025 01:34     10K
perl-io-interactive-1.027-r0.apk                   01-Sep-2025 22:16    5441
perl-io-interactive-doc-1.027-r0.apk               01-Sep-2025 22:16    5317
perl-io-lambda-1.34-r0.apk                         26-Oct-2024 04:08     75K
perl-io-lambda-doc-1.34-r0.apk                     26-Oct-2024 04:08     68K
perl-io-sessiondata-1.03-r3.apk                    26-Oct-2024 04:08    5880
perl-json-maybeutf8-2.000-r0.apk                   26-Oct-2024 04:08    3179
perl-json-maybeutf8-doc-2.000-r0.apk               26-Oct-2024 04:08    3622
perl-json-validator-5.15-r0.apk                    19-Mar-2025 20:44     58K
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 20:44     34K
perl-lexical-persistence-1.023-r0.apk              11-May-2025 20:57    7693
perl-lexical-persistence-doc-1.023-r0.apk          11-May-2025 20:57    7756
perl-lib-abs-0.95-r0.apk                           26-Oct-2024 04:08    3951
perl-lib-abs-doc-0.95-r0.apk                       26-Oct-2024 04:08    4052
perl-libapreq2-2.17-r3.apk                         02-Jul-2025 03:10     87K
perl-libapreq2-dev-2.17-r3.apk                     02-Jul-2025 03:10     51K
perl-libapreq2-doc-2.17-r3.apk                     02-Jul-2025 03:10     37K
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 22:35    305K
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 22:35    571K
perl-lingua-en-findnumber-1.32-r0.apk              09-Jun-2025 01:07    3330
perl-lingua-en-findnumber-doc-1.32-r0.apk          09-Jun-2025 01:07    3565
perl-lingua-en-inflect-number-1.12-r0.apk          09-Jun-2025 01:07    3284
perl-lingua-en-inflect-number-doc-1.12-r0.apk      09-Jun-2025 01:07    3641
perl-lingua-en-inflect-phrase-0.20-r0.apk          09-Jun-2025 21:06    5443
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk      09-Jun-2025 21:06    3996
perl-lingua-en-number-isordinal-0.05-r0.apk        09-Jun-2025 01:07    3131
perl-lingua-en-number-isordinal-doc-0.05-r0.apk    09-Jun-2025 01:07    3528
perl-lingua-en-tagger-0.31-r0.apk                  09-Jun-2025 01:07    546K
perl-lingua-en-tagger-doc-0.31-r0.apk              09-Jun-2025 01:07    4560
perl-lingua-en-words2nums-0.18-r0.apk              07-Jun-2025 23:55    4708
perl-lingua-en-words2nums-doc-0.18-r0.apk          07-Jun-2025 23:55    3600
perl-lingua-pt-stemmer-0.02-r0.apk                 07-Jun-2025 11:00    5554
perl-lingua-pt-stemmer-doc-0.02-r0.apk             07-Jun-2025 11:00    4382
perl-lingua-stem-2.31-r0.apk                       09-Jun-2025 01:07     12K
perl-lingua-stem-doc-2.31-r0.apk                   09-Jun-2025 01:07     34K
perl-lingua-stem-fr-0.02-r0.apk                    06-Jun-2025 23:57    6275
perl-lingua-stem-fr-doc-0.02-r0.apk                06-Jun-2025 23:57    4028
perl-lingua-stem-it-0.02-r0.apk                    06-Jun-2025 23:57    5347
perl-lingua-stem-it-doc-0.02-r0.apk                06-Jun-2025 23:57    3627
perl-lingua-stem-ru-0.04-r0.apk                    06-Jun-2025 23:57    4218
perl-lingua-stem-ru-doc-0.04-r0.apk                06-Jun-2025 23:57    3836
perl-lingua-stem-snowball-da-1.01-r0.apk           09-Jun-2025 01:07    4434
perl-lingua-stem-snowball-da-doc-1.01-r0.apk       09-Jun-2025 01:07    3102
perl-linux-pid-0.04-r15.apk                        02-Jul-2025 03:10    4605
perl-linux-pid-doc-0.04-r15.apk                    02-Jul-2025 03:10    3142
perl-list-binarysearch-0.25-r0.apk                 26-Oct-2024 04:08     10K
perl-list-binarysearch-doc-0.25-r0.apk             26-Oct-2024 04:08     12K
perl-list-binarysearch-xs-0.09-r2.apk              02-Jul-2025 03:10     11K
perl-list-binarysearch-xs-doc-0.09-r2.apk          02-Jul-2025 03:10    8327
perl-list-keywords-0.11-r1.apk                     02-Jul-2025 03:10     13K
perl-list-keywords-doc-0.11-r1.apk                 02-Jul-2025 03:10    5709
perl-log-fu-0.31-r4.apk                            26-Oct-2024 04:08     10K
perl-log-fu-doc-0.31-r4.apk                        26-Oct-2024 04:08    7412
perl-log-message-0.08-r3.apk                       26-Oct-2024 04:08     11K
perl-log-message-doc-0.08-r3.apk                   26-Oct-2024 04:08     12K
perl-log-message-simple-0.10-r3.apk                26-Oct-2024 04:08    4288
perl-log-message-simple-doc-0.10-r3.apk            26-Oct-2024 04:08    4017
perl-lwp-useragent-cached-0.08-r1.apk              26-Oct-2024 04:08    6517
perl-lwp-useragent-cached-doc-0.08-r1.apk          26-Oct-2024 04:08    5766
perl-mastodon-client-0.017-r0.apk                  26-Oct-2024 04:08     22K
perl-mastodon-client-doc-0.017-r0.apk              26-Oct-2024 04:08     33K
perl-math-int64-0.57-r2.apk                        02-Jul-2025 03:10     28K
perl-math-int64-doc-0.57-r2.apk                    02-Jul-2025 03:10     11K
perl-math-libm-1.00-r15.apk                        02-Jul-2025 03:10    9412
perl-math-libm-doc-1.00-r15.apk                    02-Jul-2025 03:10    3283
perl-math-random-0.75-r0.apk                       01-Oct-2025 13:55     34K
perl-math-random-doc-0.75-r0.apk                   01-Oct-2025 13:55     11K
perl-math-random-isaac-xs-1.004-r9.apk             02-Jul-2025 03:10    7341
perl-math-random-isaac-xs-doc-1.004-r9.apk         02-Jul-2025 03:10    3924
perl-mce-1.902-r0.apk                              10-Sep-2025 12:59    134K
perl-mce-doc-1.902-r0.apk                          10-Sep-2025 12:59    171K
perl-memoize-expirelru-0.56-r0.apk                 09-Jun-2025 01:07    6372
perl-memoize-expirelru-doc-0.56-r0.apk             09-Jun-2025 01:07    3932
perl-minion-11.0-r0.apk                            27-Aug-2025 17:15      2M
perl-minion-backend-pg-11.0-r0.apk                 27-Aug-2025 17:15    9800
perl-minion-backend-redis-0.003-r0.apk             26-Oct-2024 04:08     10K
perl-minion-backend-redis-doc-0.003-r0.apk         26-Oct-2024 04:08    6714
perl-minion-backend-sqlite-5.0.7-r0.apk            26-Oct-2024 04:08     10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        26-Oct-2024 04:08    6916
perl-minion-doc-11.0-r0.apk                        27-Aug-2025 17:15     51K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 26-Oct-2024 04:08    3501
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 26-Oct-2024 04:08    3844
perl-module-cpants-analyse-1.02-r0.apk             15-Jul-2025 19:28     27K
perl-module-cpants-analyse-doc-1.02-r0.apk         15-Jul-2025 19:28     28K
perl-module-extract-version-1.119-r0.apk           09-Sep-2025 22:17    3326
perl-module-extract-version-doc-1.119-r0.apk       09-Sep-2025 22:17    3542
perl-module-generic-1.1.3-r0.apk                   25-Oct-2025 02:52    324K
perl-module-generic-doc-1.1.3-r0.apk               25-Oct-2025 02:52    262K
perl-module-path-0.19-r0.apk                       09-Jun-2025 01:07    4827
perl-module-path-doc-0.19-r0.apk                   09-Jun-2025 01:07    5939
perl-mojo-reactor-ioasync-1.002-r0.apk             26-Oct-2024 04:08    4829
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         26-Oct-2024 04:08    4462
perl-mojo-redis-3.29-r0.apk                        26-Oct-2024 04:08     25K
perl-mojo-redis-doc-3.29-r0.apk                    26-Oct-2024 04:08     25K
perl-mojo-sqlite-3.009-r0.apk                      26-Oct-2024 04:08     16K
perl-mojo-sqlite-doc-3.009-r0.apk                  26-Oct-2024 04:08     19K
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 20:44     28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 20:44     33K
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 13:03    4182
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 13:03    4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 13:03    5565
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 13:03    5471
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 13:03     14K
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 13:03     25K
perl-moosex-markasmethods-0.15-r0.apk              05-Jun-2025 04:41    5227
perl-moosex-markasmethods-doc-0.15-r0.apk          05-Jun-2025 04:41    5325
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 20:44    8759
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 20:44     22K
perl-moosex-nonmoose-0.27-r1.apk                   20-Jun-2025 13:10    8386
perl-moosex-nonmoose-doc-0.27-r1.apk               20-Jun-2025 13:10    9670
perl-moosex-object-pluggable-0.0014-r0.apk         23-Apr-2025 06:24    6125
perl-moosex-object-pluggable-doc-0.0014-r0.apk     23-Apr-2025 06:24    5626
perl-moosex-relatedclassroles-0.004-r0.apk         11-May-2025 20:57    2863
perl-moosex-relatedclassroles-doc-0.004-r0.apk     11-May-2025 20:57    3423
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 23:08    7911
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 23:08     24K
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 13:03    3880
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 13:03    4260
perl-moosex-traits-pluggable-0.12-r0.apk           11-May-2025 20:57    5064
perl-moosex-traits-pluggable-doc-0.12-r0.apk       11-May-2025 20:57    4310
perl-moosex-types-loadableclass-0.016-r0.apk       11-May-2025 20:57    3301
perl-moosex-types-loadableclass-doc-0.016-r0.apk   11-May-2025 20:57    3834
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 23:08    4104
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 23:08    4212
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 23:08    3119
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 23:08    3666
perl-moox-typetiny-0.002003-r0.apk                 09-Jun-2025 21:05    3635
perl-moox-typetiny-doc-0.002003-r0.apk             09-Jun-2025 21:05    3239
perl-multidimensional-0.014-r1.apk                 02-Jul-2025 03:10    4734
perl-multidimensional-doc-0.014-r1.apk             02-Jul-2025 03:10    3234
perl-musicbrainz-discid-0.06-r2.apk                02-Jul-2025 03:10    8867
perl-musicbrainz-discid-doc-0.06-r2.apk            02-Jul-2025 03:10    4467
perl-net-address-ip-local-0.1.2-r0.apk             26-Oct-2024 04:08    3506
perl-net-address-ip-local-doc-0.1.2-r0.apk         26-Oct-2024 04:08    3558
perl-net-amqp-rabbitmq-2.40014-r1.apk              21-Nov-2025 08:47     72K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk          21-Nov-2025 08:47     11K
perl-net-async-redis-6.006-r0.apk                  12-Dec-2024 01:22     58K
perl-net-async-redis-doc-6.006-r0.apk              12-Dec-2024 01:22     66K
perl-net-async-redis-xs-1.001-r2.apk               02-Jul-2025 03:10    8526
perl-net-async-redis-xs-doc-1.001-r2.apk           02-Jul-2025 03:10    5523
perl-net-curl-0.57-r1.apk                          02-Jul-2025 03:10     55K
perl-net-curl-doc-0.57-r1.apk                      02-Jul-2025 03:10     39K
perl-net-curl-promiser-0.20-r0.apk                 26-Oct-2024 04:08    9060
perl-net-curl-promiser-anyevent-0.20-r0.apk        26-Oct-2024 04:08    2767
perl-net-curl-promiser-doc-0.20-r0.apk             26-Oct-2024 04:08     12K
perl-net-curl-promiser-ioasync-0.20-r0.apk         26-Oct-2024 04:08    3026
perl-net-curl-promiser-mojo-0.20-r0.apk            26-Oct-2024 04:08    3164
perl-net-dbus-1.2.0-r0.apk                         13-Dec-2025 16:33     90K
perl-net-dbus-doc-1.2.0-r0.apk                     13-Dec-2025 16:33     94K
perl-net-idn-encode-2.500-r2.apk                   02-Jul-2025 03:10     83K
perl-net-idn-encode-doc-2.500-r2.apk               02-Jul-2025 03:10     22K
perl-net-irr-0.10-r0.apk                           26-Oct-2024 04:08    5582
perl-net-irr-doc-0.10-r0.apk                       26-Oct-2024 04:08    5275
perl-net-netmask-2.0003-r0.apk                     18-May-2025 13:10     14K
perl-net-netmask-doc-2.0003-r0.apk                 18-May-2025 13:10    8760
perl-net-patricia-1.24-r0.apk                      21-Nov-2025 07:09     18K
perl-net-patricia-doc-1.24-r0.apk                  21-Nov-2025 07:09    6441
perl-netaddr-mac-0.99-r0.apk                       21-Dec-2025 16:46     10K
perl-netaddr-mac-doc-0.99-r0.apk                   21-Dec-2025 16:46    8278
perl-nice-try-1.3.17-r0.apk                        24-Jul-2025 22:42     28K
perl-nice-try-doc-1.3.17-r0.apk                    24-Jul-2025 22:42     13K
perl-number-format-1.76-r1.apk                     26-Oct-2024 04:08     15K
perl-number-format-doc-1.76-r1.apk                 26-Oct-2024 04:08    9210
perl-number-tolerant-1.710-r0.apk                  26-Oct-2024 04:08     15K
perl-number-tolerant-doc-1.710-r0.apk              26-Oct-2024 04:08     26K
perl-object-array-0.060-r0.apk                     26-Oct-2024 04:08    5830
perl-object-array-doc-0.060-r0.apk                 26-Oct-2024 04:08    7129
perl-object-pad-fieldattr-checked-0.12-r1.apk      02-Jul-2025 03:10    7977
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk  02-Jul-2025 03:10    4637
perl-object-signature-1.08-r0.apk                  04-Apr-2025 20:56    3762
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 20:56    5541
perl-openapi-client-1.07-r0.apk                    26-Oct-2024 04:08    8830
perl-openapi-client-doc-1.07-r0.apk                26-Oct-2024 04:08    7508
perl-opentracing-1.006-r0.apk                      26-Oct-2024 04:08     18K
perl-opentracing-doc-1.006-r0.apk                  26-Oct-2024 04:08     33K
perl-pango-1.227-r12.apk                           02-Jul-2025 03:10     71K
perl-pango-doc-1.227-r12.apk                       02-Jul-2025 03:10     82K
perl-parse-distname-0.05-r0.apk                    15-Jul-2025 19:28    5603
perl-parse-distname-doc-0.05-r0.apk                15-Jul-2025 19:28    4481
perl-path-dispatcher-1.08-r0.apk                   09-Jun-2025 21:05     14K
perl-path-dispatcher-doc-1.08-r0.apk               09-Jun-2025 21:05     38K
perl-path-iter-0.2-r3.apk                          26-Oct-2024 04:08    5318
perl-path-iter-doc-0.2-r3.apk                      26-Oct-2024 04:08    5253
perl-perlio-locale-0.10-r13.apk                    02-Jul-2025 03:10    4413
perl-perlio-locale-doc-0.10-r13.apk                02-Jul-2025 03:10    3186
perl-plack-middleware-expires-0.06-r3.apk          26-Oct-2024 04:08    3943
perl-plack-middleware-expires-doc-0.06-r3.apk      26-Oct-2024 04:08    3403
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 18:36    3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 18:36    3143
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 20:44    3725
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 20:44    4142
perl-plack-middleware-removeredundantbody-0.09-..> 28-Dec-2024 04:25    2565
perl-plack-middleware-removeredundantbody-doc-0..> 28-Dec-2024 04:25    3151
perl-plack-middleware-reverseproxy-0.16-r2.apk     26-Oct-2024 04:08    3214
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 26-Oct-2024 04:08    3104
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 20:44    2877
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 20:44    3203
perl-pod-cpandoc-0.16-r6.apk                       26-Oct-2024 04:08    4664
perl-pod-cpandoc-doc-0.16-r6.apk                   26-Oct-2024 04:08    4995
perl-pod-tidy-0.10-r1.apk                          26-Oct-2024 04:08     10K
perl-pod-tidy-doc-0.10-r1.apk                      26-Oct-2024 04:08     11K
perl-ppi-xs-0.910-r2.apk                           02-Jul-2025 03:10    5707
perl-ppi-xs-doc-0.910-r2.apk                       02-Jul-2025 03:10    3510
perl-prereqscanner-notquitelite-0.9917-r0.apk      15-Jul-2025 19:28     41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk  15-Jul-2025 19:28     49K
perl-proc-guard-0.07-r4.apk                        26-Oct-2024 04:08    3758
perl-proc-guard-doc-0.07-r4.apk                    26-Oct-2024 04:08    3602
perl-promise-es6-0.28-r0.apk                       26-Oct-2024 04:08     11K
perl-promise-es6-anyevent-0.28-r0.apk              26-Oct-2024 04:08    2547
perl-promise-es6-doc-0.28-r0.apk                   26-Oct-2024 04:08     12K
perl-promise-es6-future-0.28-r0.apk                26-Oct-2024 04:08    2337
perl-promise-es6-io-async-0.28-r0.apk              26-Oct-2024 04:08    3014
perl-promise-es6-mojo-ioloop-0.28-r0.apk           26-Oct-2024 04:08    2622
perl-promise-me-0.6.0-r0.apk                       09-Aug-2025 18:47     27K
perl-promise-me-doc-0.6.0-r0.apk                   09-Aug-2025 18:47     13K
perl-promise-xs-0.20-r2.apk                        02-Jul-2025 03:10     21K
perl-promise-xs-doc-0.20-r2.apk                    02-Jul-2025 03:10    8966
perl-protocol-database-postgresql-2.001-r0.apk     26-Oct-2024 04:08     19K
perl-protocol-database-postgresql-doc-2.001-r0.apk 26-Oct-2024 04:08     36K
perl-protocol-redis-1.0021-r0.apk                  26-Oct-2024 04:08    5749
perl-protocol-redis-doc-1.0021-r0.apk              26-Oct-2024 04:08    5154
perl-protocol-redis-faster-0.003-r0.apk            26-Oct-2024 04:08    3488
perl-protocol-redis-faster-doc-0.003-r0.apk        26-Oct-2024 04:08    3384
perl-ref-util-xs-0.117-r9.apk                      02-Jul-2025 03:10    8601
perl-ref-util-xs-doc-0.117-r9.apk                  02-Jul-2025 03:10    3553
perl-regexp-trie-0.02-r0.apk                       15-Jul-2025 19:28    3050
perl-regexp-trie-doc-0.02-r0.apk                   15-Jul-2025 19:28    3390
perl-role-eventemitter-0.003-r0.apk                26-Oct-2024 04:08    3740
perl-role-eventemitter-doc-0.003-r0.apk            26-Oct-2024 04:08    4024
perl-rxperl-6.29.8-r0.apk                          26-Oct-2024 04:08     26K
perl-rxperl-anyevent-6.8.1-r0.apk                  26-Oct-2024 04:08    2775
perl-rxperl-anyevent-doc-6.8.1-r0.apk              26-Oct-2024 04:08    9154
perl-rxperl-doc-6.29.8-r0.apk                      26-Oct-2024 04:08     23K
perl-rxperl-ioasync-6.9.1-r0.apk                   26-Oct-2024 04:08    2881
perl-rxperl-ioasync-doc-6.9.1-r0.apk               26-Oct-2024 04:08    9200
perl-rxperl-mojo-6.8.2-r0.apk                      26-Oct-2024 04:08    2909
perl-rxperl-mojo-doc-6.8.2-r0.apk                  26-Oct-2024 04:08    9275
perl-ryu-4.001-r0.apk                              26-Oct-2024 04:08     26K
perl-ryu-async-0.020-r0.apk                        26-Oct-2024 04:08    7666
perl-ryu-async-doc-0.020-r0.apk                    26-Oct-2024 04:08     12K
perl-ryu-doc-4.001-r0.apk                          26-Oct-2024 04:08     35K
perl-scalar-readonly-0.03-r2.apk                   02-Jul-2025 03:10    5166
perl-scalar-readonly-doc-0.03-r2.apk               02-Jul-2025 03:10    3513
perl-set-infinite-0.65-r0.apk                      24-Jul-2025 22:42     23K
perl-set-infinite-doc-0.65-r0.apk                  24-Jul-2025 22:42     11K
perl-shell-config-generate-0.34-r0.apk             09-Jun-2025 01:07    8028
perl-shell-config-generate-doc-0.34-r0.apk         09-Jun-2025 01:07    6995
perl-shell-guess-0.10-r0.apk                       07-Jun-2025 23:55    6114
perl-shell-guess-doc-0.10-r0.apk                   07-Jun-2025 23:55    5916
perl-signature-attribute-checked-0.06-r1.apk       02-Jul-2025 03:10    7600
perl-signature-attribute-checked-doc-0.06-r1.apk   02-Jul-2025 03:10    4747
perl-smart-comments-1.06-r0.apk                    08-Jul-2025 02:02     12K
perl-smart-comments-doc-1.06-r0.apk                08-Jul-2025 02:02    8724
perl-snmp-5.0404-r14.apk                           02-Jul-2025 03:10     64K
perl-snmp-doc-5.0404-r14.apk                       02-Jul-2025 03:10     14K
perl-snmp-info-3.974000-r0.apk                     12-Sep-2025 13:49    337K
perl-snmp-info-doc-3.974000-r0.apk                 12-Sep-2025 13:49    390K
perl-snowball-norwegian-1.2-r0.apk                 09-Jun-2025 01:07    5395
perl-snowball-norwegian-doc-1.2-r0.apk             09-Jun-2025 01:07    3989
perl-snowball-swedish-1.2-r0.apk                   09-Jun-2025 01:07    5368
perl-snowball-swedish-doc-1.2-r0.apk               09-Jun-2025 01:07    3955
perl-soap-lite-1.27-r5.apk                         26-Oct-2024 04:08    110K
perl-soap-lite-doc-1.27-r5.apk                     26-Oct-2024 04:08     90K
perl-software-license-0.104007-r0.apk              09-Jul-2025 01:53    107K
perl-software-license-doc-0.104007-r0.apk          09-Jul-2025 01:53     50K
perl-sort-naturally-1.03-r4.apk                    26-Oct-2024 04:08    8854
perl-sort-naturally-doc-1.03-r4.apk                26-Oct-2024 04:08    5570
perl-sort-versions-1.62-r0.apk                     26-Oct-2024 04:08    3807
perl-sort-versions-doc-1.62-r0.apk                 26-Oct-2024 04:08    4221
perl-sql-abstract-classic-1.91-r1.apk              26-Oct-2024 04:08     29K
perl-sql-abstract-classic-doc-1.91-r1.apk          26-Oct-2024 04:08     20K
perl-sql-abstract-more-1.44-r0.apk                 15-Jul-2025 19:28     28K
perl-sql-abstract-more-doc-1.44-r0.apk             15-Jul-2025 19:28     17K
perl-starman-0.4017-r0.apk                         26-Oct-2024 04:08     13K
perl-starman-doc-0.4017-r0.apk                     26-Oct-2024 04:08     10K
perl-statistics-basic-1.6611-r0.apk                26-Oct-2024 04:08    9434
perl-statistics-basic-doc-1.6611-r0.apk            26-Oct-2024 04:08     50K
perl-statistics-descriptive-3.0801-r0.apk          26-Oct-2024 04:08     30K
perl-statistics-descriptive-doc-3.0801-r0.apk      26-Oct-2024 04:08     37K
perl-storable-improved-0.1.3-r0.apk                26-Oct-2024 04:08    6844
perl-storable-improved-doc-0.1.3-r0.apk            26-Oct-2024 04:08    7054
perl-string-camelcase-0.04-r2.apk                  26-Oct-2024 04:08    3242
perl-string-camelcase-doc-0.04-r2.apk              26-Oct-2024 04:08    3517
perl-string-compare-constanttime-0.321-r7.apk      02-Jul-2025 03:10    7193
perl-string-compare-constanttime-doc-0.321-r7.apk  02-Jul-2025 03:10    5415
perl-string-crc32-2.100-r5.apk                     02-Jul-2025 03:10    6701
perl-string-crc32-doc-2.100-r5.apk                 02-Jul-2025 03:10    3520
perl-string-escape-2010.002-r0.apk                 30-Mar-2025 03:40    9083
perl-string-escape-doc-2010.002-r0.apk             30-Mar-2025 03:40    8020
perl-string-toidentifier-en-0.12-r0.apk            09-Jun-2025 21:06    5573
perl-string-toidentifier-en-doc-0.12-r0.apk        09-Jun-2025 21:06    5716
perl-syntax-keyword-match-0.15-r1.apk              02-Jul-2025 03:10     13K
perl-syntax-keyword-match-doc-0.15-r1.apk          02-Jul-2025 03:10    8031
perl-syntax-operator-equ-0.10-r1.apk               02-Jul-2025 03:10    7817
perl-syntax-operator-equ-doc-0.10-r1.apk           02-Jul-2025 03:10    6727
perl-syntax-operator-in-0.10-r1.apk                02-Jul-2025 03:10    9171
perl-syntax-operator-in-doc-0.10-r1.apk            02-Jul-2025 03:10    6047
perl-sys-virt-11.10.0-r0.apk                       04-Dec-2025 01:02    198K
perl-sys-virt-doc-11.10.0-r0.apk                   04-Dec-2025 01:02    106K
perl-system-command-1.122-r0.apk                   26-Oct-2024 04:08     12K
perl-system-command-doc-1.122-r0.apk               26-Oct-2024 04:08     10K
perl-task-catalyst-4.02-r0.apk                     15-Jun-2025 13:46    3018
perl-task-catalyst-doc-4.02-r0.apk                 15-Jun-2025 13:46    3767
perl-template-plugin-csv-0.04-r3.apk               26-Oct-2024 04:08    2752
perl-template-plugin-csv-doc-0.04-r3.apk           26-Oct-2024 04:08    3079
perl-template-plugin-number-format-1.06-r4.apk     26-Oct-2024 04:08    4999
perl-template-plugin-number-format-doc-1.06-r4.apk 26-Oct-2024 04:08    4476
perl-template-timer-1.00-r0.apk                    13-Apr-2025 00:51    3509
perl-template-timer-doc-1.00-r0.apk                13-Apr-2025 00:51    3746
perl-template-tiny-1.16-r0.apk                     24-Jul-2025 22:42    5679
perl-template-tiny-doc-1.16-r0.apk                 24-Jul-2025 22:42    5138
perl-term-size-0.211-r5.apk                        02-Jul-2025 03:10    5560
perl-term-size-doc-0.211-r5.apk                    02-Jul-2025 03:10    3891
perl-term-ui-0.50-r1.apk                           26-Oct-2024 04:08     10K
perl-term-ui-doc-0.50-r1.apk                       26-Oct-2024 04:08    8679
perl-test-api-0.010-r2.apk                         26-Oct-2024 04:08    5188
perl-test-api-doc-0.010-r2.apk                     26-Oct-2024 04:08    4329
perl-test-class-tiny-0.03-r0.apk                   26-Oct-2024 04:08    5991
perl-test-class-tiny-doc-0.03-r0.apk               26-Oct-2024 04:08    5550
perl-test-describeme-0.004-r0.apk                  26-Oct-2024 04:08    3677
perl-test-describeme-doc-0.004-r0.apk              26-Oct-2024 04:08    4285
perl-test-distribution-2.00-r1.apk                 26-Oct-2024 04:08    7917
perl-test-distribution-doc-2.00-r1.apk             26-Oct-2024 04:08    6201
perl-test-expander-2.5.1-r0.apk                    26-Oct-2024 04:08    7278
perl-test-expander-doc-2.5.1-r0.apk                26-Oct-2024 04:08     20K
perl-test-expect-0.34-r0.apk                       23-Apr-2025 06:24    3555
perl-test-expect-doc-0.34-r0.apk                   23-Apr-2025 06:24    3661
perl-test-file-1.995-r0.apk                        20-Apr-2025 02:55     11K
perl-test-file-doc-1.995-r0.apk                    20-Apr-2025 02:55    6958
perl-test-files-0.26-r0.apk                        26-Oct-2024 04:08    6871
perl-test-files-doc-0.26-r0.apk                    26-Oct-2024 04:08     15K
perl-test-kwalitee-1.28-r0.apk                     15-Jul-2025 19:28    6534
perl-test-kwalitee-doc-1.28-r0.apk                 15-Jul-2025 19:28    7059
perl-test-lwp-useragent-0.036-r0.apk               26-Oct-2024 04:08     10K
perl-test-lwp-useragent-doc-0.036-r0.apk           26-Oct-2024 04:08    8527
perl-test-memorygrowth-0.05-r0.apk                 26-Oct-2024 04:08    6572
perl-test-memorygrowth-doc-0.05-r0.apk             26-Oct-2024 04:08    5412
perl-test-modern-0.013-r3.apk                      26-Oct-2024 04:08     15K
perl-test-modern-doc-0.013-r3.apk                  26-Oct-2024 04:08     10K
perl-test-perl-critic-1.04-r0.apk                  15-Jul-2025 19:28    7002
perl-test-perl-critic-doc-1.04-r0.apk              15-Jul-2025 19:28    6561
perl-test-randomresult-0.001-r0.apk                26-Oct-2024 04:08    3606
perl-test-randomresult-doc-0.001-r0.apk            26-Oct-2024 04:08    3761
perl-test-requires-git-1.008-r0.apk                26-Oct-2024 04:08    4877
perl-test-requires-git-doc-1.008-r0.apk            26-Oct-2024 04:08    4486
perl-test-roo-1.004-r3.apk                         26-Oct-2024 04:08     12K
perl-test-roo-doc-1.004-r3.apk                     26-Oct-2024 04:08     15K
perl-test-settings-0.003-r0.apk                    26-Oct-2024 04:08    5067
perl-test-settings-doc-0.003-r0.apk                26-Oct-2024 04:08    6187
perl-test-trap-0.3.5-r1.apk                        26-Oct-2024 04:08     20K
perl-test-trap-doc-0.3.5-r1.apk                    26-Oct-2024 04:08     20K
perl-test-unit-0.29-r0.apk                         11-Dec-2025 02:06     36K
perl-test-unit-doc-0.29-r0.apk                     11-Dec-2025 02:06     49K
perl-test-useallmodules-0.17-r1.apk                26-Oct-2024 04:08    3889
perl-test-useallmodules-doc-0.17-r1.apk            26-Oct-2024 04:08    3934
perl-test-utf8-1.03-r0.apk                         20-Nov-2024 11:43    5706
perl-test-utf8-doc-1.03-r0.apk                     20-Nov-2024 11:43    4978
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 23:57     15K
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 13:32    7532
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 13:32    6372
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 23:57     10K
perl-test2-tools-explain-0.02-r0.apk               26-Oct-2024 04:08    3883
perl-test2-tools-explain-doc-0.02-r0.apk           26-Oct-2024 04:08    4518
perl-text-brew-0.02-r5.apk                         26-Oct-2024 04:08    4615
perl-text-brew-doc-0.02-r5.apk                     26-Oct-2024 04:08    4213
perl-text-german-0.06-r0.apk                       07-Jun-2025 11:00     13K
perl-text-german-doc-0.06-r0.apk                   07-Jun-2025 11:00    3105
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 20:44    4567
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 20:44    3534
perl-text-table-any-0.117-r0.apk                   26-Oct-2024 04:08    8244
perl-text-table-any-doc-0.117-r0.apk               26-Oct-2024 04:08    6784
perl-text-table-sprintf-0.008-r0.apk               26-Oct-2024 04:08    5466
perl-text-table-sprintf-doc-0.008-r0.apk           26-Oct-2024 04:08    5322
perl-text-worddiff-0.09-r0.apk                     10-Jun-2025 01:26     10K
perl-text-worddiff-doc-0.09-r0.apk                 10-Jun-2025 01:26     14K
perl-throwable-1.001-r1.apk                        26-Oct-2024 04:08    6352
perl-throwable-doc-1.001-r1.apk                    26-Oct-2024 04:08    8182
perl-tickit-widget-choice-0.07-r0.apk              26-Oct-2024 04:08    4003
perl-tickit-widget-choice-doc-0.07-r0.apk          26-Oct-2024 04:08    3471
perl-tickit-widget-entry-plugin-completion-0.02..> 26-Oct-2024 04:08    4717
perl-tickit-widget-entry-plugin-completion-doc-..> 26-Oct-2024 04:08    3916
perl-tickit-widget-floatbox-0.11-r0.apk            26-Oct-2024 04:08    4789
perl-tickit-widget-floatbox-doc-0.11-r0.apk        26-Oct-2024 04:08    4230
perl-tickit-widget-menu-0.16-r0.apk                26-Oct-2024 04:08    7333
perl-tickit-widget-menu-doc-0.16-r0.apk            26-Oct-2024 04:08    7057
perl-tickit-widget-scrollbox-0.12-r0.apk           26-Oct-2024 04:08    8173
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       26-Oct-2024 04:08    6680
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 20:44    2665
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 20:44    3245
perl-time-moment-0.46-r0.apk                       05-Dec-2025 02:58     38K
perl-time-moment-doc-0.46-r0.apk                   05-Dec-2025 02:58     36K
perl-time-moment-role-strptime-0.001-r0.apk        26-Oct-2024 04:08    2814
perl-time-moment-role-strptime-doc-0.001-r0.apk    26-Oct-2024 04:08    3445
perl-time-moment-role-timezone-1.000-r0.apk        26-Oct-2024 04:08    3645
perl-time-moment-role-timezone-doc-1.000-r0.apk    26-Oct-2024 04:08    4080
perl-time-timegm-0.01-r10.apk                      02-Jul-2025 03:10    6500
perl-time-timegm-doc-0.01-r10.apk                  02-Jul-2025 03:10    3964
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 20:44     20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 20:44     46K
perl-types-path-tiny-0.006-r0.apk                  26-Oct-2024 04:08    4025
perl-types-path-tiny-doc-0.006-r0.apk              26-Oct-2024 04:08    4170
perl-uri-db-0.23-r0.apk                            09-Jan-2025 14:26     11K
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 14:26    8550
perl-uri-fetch-0.15-r0.apk                         26-Oct-2024 04:08    7203
perl-uri-fetch-doc-0.15-r0.apk                     26-Oct-2024 04:08    7680
perl-uri-find-20160806-r0.apk                      23-Mar-2025 16:58     14K
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 16:58    9343
perl-uri-nested-0.10-r0.apk                        26-Oct-2024 04:08    4080
perl-uri-nested-doc-0.10-r0.apk                    26-Oct-2024 04:08    3970
perl-uri-redis-0.02-r0.apk                         26-Oct-2024 04:08    3240
perl-uri-redis-doc-0.02-r0.apk                     26-Oct-2024 04:08    4658
perl-uri-tcp-2.0.0-r0.apk                          26-Oct-2024 04:08    2750
perl-uri-tcp-doc-2.0.0-r0.apk                      26-Oct-2024 04:08    5052
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 20:44    2396
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 20:44    4430
perl-url-encode-0.03-r4.apk                        26-Oct-2024 04:08    5252
perl-url-encode-doc-0.03-r4.apk                    26-Oct-2024 04:08    4787
perl-variable-disposition-0.005-r0.apk             26-Oct-2024 04:08    3328
perl-variable-disposition-doc-0.005-r0.apk         26-Oct-2024 04:08    5763
perl-wanted-0.1.0-r0.apk                           09-Aug-2025 18:47     18K
perl-wanted-doc-0.1.0-r0.apk                       09-Aug-2025 18:47     11K
perl-web-machine-0.17-r0.apk                       14-Jun-2025 03:17     20K
perl-web-machine-doc-0.17-r0.apk                   14-Jun-2025 03:17     29K
perl-web-scraper-0.38-r0.apk                       15-Jul-2025 19:28    7706
perl-web-scraper-doc-0.38-r0.apk                   15-Jul-2025 19:28    8268
perl-x-tiny-0.22-r0.apk                            26-Oct-2024 04:08    7033
perl-x-tiny-doc-0.22-r0.apk                        26-Oct-2024 04:08    7778
perl-x11-korgwm-5.0-r0.apk                         13-Dec-2025 16:33     41K
perl-x11-korgwm-doc-5.0-r0.apk                     13-Dec-2025 16:33     12K
perl-x11-xcb-0.24-r0.apk                           13-Dec-2025 16:33    151K
perl-x11-xcb-doc-0.24-r0.apk                       13-Dec-2025 16:33     13K
perl-xml-atom-0.43-r0.apk                          26-Oct-2024 04:08     20K
perl-xml-atom-doc-0.43-r0.apk                      26-Oct-2024 04:08     16K
perl-xml-bare-0.53-r14.apk                         02-Jul-2025 03:10     26K
perl-xml-bare-doc-0.53-r14.apk                     02-Jul-2025 03:10     11K
perl-xml-descent-1.04-r0.apk                       13-Dec-2025 16:33    7648
perl-xml-descent-doc-1.04-r0.apk                   13-Dec-2025 16:33    7312
perl-xml-feed-1.0.0-r0.apk                         19-Nov-2025 02:17     14K
perl-xml-feed-doc-1.0.0-r0.apk                     19-Nov-2025 02:17     13K
perl-xml-parser-style-easytree-0.09-r0.apk         26-Oct-2024 04:08    5090
perl-xml-parser-style-easytree-doc-0.09-r0.apk     26-Oct-2024 04:08    5547
perl-xml-rpc-2.1-r0.apk                            26-Oct-2024 04:08    5869
perl-xml-rpc-doc-2.1-r0.apk                        26-Oct-2024 04:08    4973
perl-xml-tokeparser-0.05-r0.apk                    13-Dec-2025 16:33    8071
perl-xml-tokeparser-doc-0.05-r0.apk                13-Dec-2025 16:33    7068
perl-xml-xpathengine-0.14-r0.apk                   09-Jul-2025 01:54     22K
perl-xml-xpathengine-doc-0.14-r0.apk               09-Jul-2025 01:54     11K
perl-xs-object-magic-0.05-r0.apk                   13-Dec-2025 16:33    9673
perl-xs-object-magic-doc-0.05-r0.apk               13-Dec-2025 16:33    5454
persistent-cache-cpp-1.0.9-r0.apk                  09-Sep-2025 22:17     42K
persistent-cache-cpp-dev-1.0.9-r0.apk              09-Sep-2025 22:17     18K
persistent-cache-cpp-doc-1.0.9-r0.apk              09-Sep-2025 22:17    3275
pest-language-server-0.3.9-r0.apk                  26-Oct-2024 04:08    987K
petitboot-1.15-r0.apk                              18-Nov-2025 00:33    160K
petitboot-dbg-1.15-r0.apk                          18-Nov-2025 00:33    590K
petitboot-doc-1.15-r0.apk                          18-Nov-2025 00:33    8249
pfetch-1.9.4-r0.apk                                22-Oct-2025 23:01     23K
pfetch-doc-1.9.4-r0.apk                            22-Oct-2025 23:01    5864
pgcat-1.2.0-r1.apk                                 02-Jan-2025 02:37      2M
pgcat-openrc-1.2.0-r1.apk                          02-Jan-2025 02:37    1922
phoronix-test-suite-10.8.4-r2.apk                  26-Oct-2024 04:08      4M
phoronix-test-suite-bash-completion-10.8.4-r2.apk  26-Oct-2024 04:08    1791
phoronix-test-suite-doc-10.8.4-r2.apk              26-Oct-2024 04:08    287K
phosh-osk-data-0.42.0-r0.apk                       17-Dec-2025 01:45    1309
phosh-osk-data-de-0.42.0-r0.apk                    17-Dec-2025 01:45     64M
phosh-osk-data-es-0.42.0-r0.apk                    17-Dec-2025 01:45     52M
phosh-osk-data-fi-0.42.0-r0.apk                    17-Dec-2025 01:45     64M
phosh-osk-data-it-0.42.0-r0.apk                    17-Dec-2025 01:45     58M
phosh-osk-data-nl-0.42.0-r0.apk                    17-Dec-2025 01:45     54M
phosh-osk-data-pl-0.42.0-r0.apk                    17-Dec-2025 01:45     57M
phosh-osk-data-pt-0.42.0-r0.apk                    17-Dec-2025 01:45     57M
phosh-osk-data-ru-0.42.0-r0.apk                    17-Dec-2025 01:45     20M
phosh-osk-data-se-0.42.0-r0.apk                    17-Dec-2025 01:45     15M
phosh-osk-data-uk-0.42.0-r0.apk                    17-Dec-2025 01:45     16M
phosh-tour-0.50.0-r2.apk                           15-Dec-2025 22:14     38K
phosh-tour-lang-0.50.0-r2.apk                      15-Dec-2025 22:14     33K
phosh-tour-systemd-0.50.0-r2.apk                   15-Dec-2025 22:14    1805
php81-8.1.34-r0.apk                                17-Dec-2025 11:26      2M
php81-apache2-8.1.34-r0.apk                        17-Dec-2025 11:26      2M
php81-bcmath-8.1.34-r0.apk                         17-Dec-2025 11:26     15K
php81-bz2-8.1.34-r0.apk                            17-Dec-2025 11:26    9335
php81-calendar-8.1.34-r0.apk                       17-Dec-2025 11:26     13K
php81-cgi-8.1.34-r0.apk                            17-Dec-2025 11:26      2M
php81-common-8.1.34-r0.apk                         17-Dec-2025 11:26     25K
php81-ctype-8.1.34-r0.apk                          17-Dec-2025 11:26    4669
php81-curl-8.1.34-r0.apk                           17-Dec-2025 11:26     35K
php81-dba-8.1.34-r0.apk                            17-Dec-2025 11:26     20K
php81-dev-8.1.34-r0.apk                            17-Dec-2025 11:26    939K
php81-doc-8.1.34-r0.apk                            17-Dec-2025 11:26     68K
php81-dom-8.1.34-r0.apk                            17-Dec-2025 11:26     57K
php81-embed-8.1.34-r0.apk                          17-Dec-2025 11:26      2M
php81-enchant-8.1.34-r0.apk                        17-Dec-2025 11:26    8242
php81-exif-8.1.34-r0.apk                           17-Dec-2025 11:26     30K
php81-ffi-8.1.34-r0.apk                            17-Dec-2025 11:26     64K
php81-fileinfo-8.1.34-r0.apk                       17-Dec-2025 11:26    376K
php81-fpm-8.1.34-r0.apk                            17-Dec-2025 11:26      2M
php81-ftp-8.1.34-r0.apk                            17-Dec-2025 11:26     22K
php81-gd-8.1.34-r0.apk                             17-Dec-2025 11:26    116K
php81-gettext-8.1.34-r0.apk                        17-Dec-2025 11:26    5735
php81-gmp-8.1.34-r0.apk                            17-Dec-2025 11:26     20K
php81-iconv-8.1.34-r0.apk                          17-Dec-2025 11:26     16K
php81-imap-8.1.34-r0.apk                           17-Dec-2025 11:26     32K
php81-intl-8.1.34-r0.apk                           17-Dec-2025 11:26    133K
php81-ldap-8.1.34-r0.apk                           17-Dec-2025 11:26     30K
php81-litespeed-8.1.34-r0.apk                      17-Dec-2025 11:26      2M
php81-mbstring-8.1.34-r0.apk                       17-Dec-2025 11:26    564K
php81-mysqli-8.1.34-r0.apk                         17-Dec-2025 11:26     40K
php81-mysqlnd-8.1.34-r0.apk                        17-Dec-2025 11:26     74K
php81-odbc-8.1.34-r0.apk                           17-Dec-2025 11:26     22K
php81-opcache-8.1.34-r0.apk                        17-Dec-2025 11:26     65K
php81-openssl-8.1.34-r0.apk                        17-Dec-2025 11:26     69K
php81-pcntl-8.1.34-r0.apk                          17-Dec-2025 11:26     13K
php81-pdo-8.1.34-r0.apk                            17-Dec-2025 11:26     39K
php81-pdo_dblib-8.1.34-r0.apk                      17-Dec-2025 11:26     11K
php81-pdo_mysql-8.1.34-r0.apk                      17-Dec-2025 11:26     12K
php81-pdo_odbc-8.1.34-r0.apk                       17-Dec-2025 11:26     12K
php81-pdo_pgsql-8.1.34-r0.apk                      17-Dec-2025 11:26     18K
php81-pdo_sqlite-8.1.34-r0.apk                     17-Dec-2025 11:26     12K
php81-pear-8.1.34-r0.apk                           17-Dec-2025 11:26    338K
php81-pecl-amqp-2.1.2-r0.apk                       26-Oct-2024 04:08     55K
php81-pecl-apcu-5.1.28-r0.apk                      08-Dec-2025 02:17     51K
php81-pecl-ast-1.1.3-r0.apk                        11-Aug-2025 02:43     20K
php81-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 11:45     13K
php81-pecl-couchbase-4.3.0-r0.apk                  15-Jun-2025 13:00      4M
php81-pecl-csv-0.4.3-r0.apk                        26-Feb-2025 00:45    9440
php81-pecl-decimal-1.5.0-r1.apk                    26-Oct-2024 04:08     17K
php81-pecl-ds-1.6.0-r0.apk                         11-May-2025 20:57     49K
php81-pecl-event-3.1.4-r0.apk                      26-Oct-2024 04:08     46K
php81-pecl-grpc-1.76.0-r0.apk                      25-Oct-2025 04:25      4M
php81-pecl-igbinary-3.2.17_rc1-r0.apk              28-Nov-2025 06:26     27K
php81-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 09:07    100K
php81-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 09:07    2346
php81-pecl-immutable_cache-6.1.0-r0.apk            26-Oct-2024 04:08     36K
php81-pecl-jsmin-3.0.0-r0.apk                      26-Oct-2024 04:08    9330
php81-pecl-luasandbox-4.1.2-r0.apk                 26-Oct-2024 04:08     27K
php81-pecl-lzf-1.7.0-r0.apk                        26-Oct-2024 04:08    6554
php81-pecl-mailparse-3.1.9-r0.apk                  30-Sep-2025 21:38     20K
php81-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 09:42    7954
php81-pecl-mcrypt-1.0.9-r0.apk                     09-Aug-2025 01:10     14K
php81-pecl-memcache-8.2-r1.apk                     26-Oct-2024 04:08     39K
php81-pecl-memcached-3.4.0-r0.apk                  13-Oct-2025 19:34     43K
php81-pecl-mongodb-2.1.4-r0.apk                    09-Oct-2025 08:50    769K
php81-pecl-msgpack-3.0.0-r0.apk                    26-Oct-2024 04:08     25K
php81-pecl-oauth-2.0.10-r0.apk                     10-Oct-2025 03:37     33K
php81-pecl-opentelemetry-1.2.1-r0.apk              08-Oct-2025 19:22     11K
php81-pecl-pcov-1.0.12-r0.apk                      05-Dec-2024 02:17    8832
php81-pecl-protobuf-4.32.1-r0.apk                  01-Oct-2025 10:59    130K
php81-pecl-psr-1.2.0-r0.apk                        26-Oct-2024 04:08     17K
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 21:51     34K
php81-pecl-redis-6.3.0-r0.apk                      08-Nov-2025 01:49    168K
php81-pecl-smbclient-1.2.0_pre-r0.apk              11-Dec-2024 04:01     19K
php81-pecl-ssh2-1.4.1-r0.apk                       26-Oct-2024 04:08     26K
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 20:06    191K
php81-pecl-uploadprogress-2.0.2-r1.apk             26-Oct-2024 04:08    6716
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         26-Oct-2024 04:08     10K
php81-pecl-uuid-1.3.0-r0.apk                       13-May-2025 08:18    6317
php81-pecl-xdebug-3.5.0-r0.apk                     05-Dec-2025 07:56    140K
php81-pecl-xhprof-2.3.10-r0.apk                    26-Oct-2024 04:08     11K
php81-pecl-xhprof-assets-2.3.10-r0.apk             26-Oct-2024 04:08    801K
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 10:44    201K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 26-Oct-2024 04:08     31K
php81-pecl-yaml-2.3.0-r0.apk                       18-Nov-2025 00:33     17K
php81-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 21:34     63K
php81-pecl-zstd-0.15.2-r0.apk                      10-Sep-2025 04:23     15K
php81-pgsql-8.1.34-r0.apk                          17-Dec-2025 11:26     43K
php81-phar-8.1.34-r0.apk                           17-Dec-2025 11:26    117K
php81-phpdbg-8.1.34-r0.apk                         17-Dec-2025 11:26      2M
php81-posix-8.1.34-r0.apk                          17-Dec-2025 11:26     10K
php81-pspell-8.1.34-r0.apk                         17-Dec-2025 11:26    7965
php81-session-8.1.34-r0.apk                        17-Dec-2025 11:26     35K
php81-shmop-8.1.34-r0.apk                          17-Dec-2025 11:26    5989
php81-simplexml-8.1.34-r0.apk                      17-Dec-2025 11:26     21K
php81-snmp-8.1.34-r0.apk                           17-Dec-2025 11:26     19K
php81-soap-8.1.34-r0.apk                           17-Dec-2025 11:26    128K
php81-sockets-8.1.34-r0.apk                        17-Dec-2025 11:26     34K
php81-sodium-8.1.34-r0.apk                         17-Dec-2025 11:26     26K
php81-sqlite3-8.1.34-r0.apk                        17-Dec-2025 11:26     19K
php81-sysvmsg-8.1.34-r0.apk                        17-Dec-2025 11:26    7307
php81-sysvsem-8.1.34-r0.apk                        17-Dec-2025 11:26    5625
php81-sysvshm-8.1.34-r0.apk                        17-Dec-2025 11:26    6511
php81-tideways_xhprof-5.0.4-r1.apk                 26-Oct-2024 04:08     12K
php81-tidy-8.1.34-r0.apk                           17-Dec-2025 11:26     18K
php81-tokenizer-8.1.34-r0.apk                      17-Dec-2025 11:26     12K
php81-xml-8.1.34-r0.apk                            17-Dec-2025 11:26     18K
php81-xmlreader-8.1.34-r0.apk                      17-Dec-2025 11:26     12K
php81-xmlwriter-8.1.34-r0.apk                      17-Dec-2025 11:26     11K
php81-xsl-8.1.34-r0.apk                            17-Dec-2025 11:26     12K
php81-zip-8.1.34-r0.apk                            17-Dec-2025 11:26     25K
php82-8.2.30-r1.apk                                18-Dec-2025 05:04      2M
php82-apache2-8.2.30-r1.apk                        18-Dec-2025 05:04      2M
php82-bcmath-8.2.30-r1.apk                         18-Dec-2025 05:04     15K
php82-bz2-8.2.30-r1.apk                            18-Dec-2025 05:04    9303
php82-calendar-8.2.30-r1.apk                       18-Dec-2025 05:04     13K
php82-cgi-8.2.30-r1.apk                            18-Dec-2025 05:04      2M
php82-common-8.2.30-r1.apk                         18-Dec-2025 05:04     25K
php82-ctype-8.2.30-r1.apk                          18-Dec-2025 05:04    4650
php82-curl-8.2.30-r1.apk                           18-Dec-2025 05:04     38K
php82-dba-8.2.30-r1.apk                            18-Dec-2025 05:04     21K
php82-dbg-8.2.30-r1.apk                            18-Dec-2025 05:04     42M
php82-dev-8.2.30-r1.apk                            18-Dec-2025 05:04    968K
php82-doc-8.2.30-r1.apk                            18-Dec-2025 05:04     73K
php82-dom-8.2.30-r1.apk                            18-Dec-2025 05:04     58K
php82-embed-8.2.30-r1.apk                          18-Dec-2025 05:04      2M
php82-enchant-8.2.30-r1.apk                        18-Dec-2025 05:04    8220
php82-exif-8.2.30-r1.apk                           18-Dec-2025 05:04     30K
php82-ffi-8.2.30-r1.apk                            18-Dec-2025 05:04     66K
php82-fileinfo-8.2.30-r1.apk                       18-Dec-2025 05:04    376K
php82-fpm-8.2.30-r1.apk                            18-Dec-2025 05:04      2M
php82-ftp-8.2.30-r1.apk                            18-Dec-2025 05:04     22K
php82-gd-8.2.30-r1.apk                             18-Dec-2025 05:04    117K
php82-gettext-8.2.30-r1.apk                        18-Dec-2025 05:04    5762
php82-gmp-8.2.30-r1.apk                            18-Dec-2025 05:04     20K
php82-iconv-8.2.30-r1.apk                          18-Dec-2025 05:04     17K
php82-imap-8.2.30-r1.apk                           18-Dec-2025 05:04     32K
php82-intl-8.2.30-r1.apk                           18-Dec-2025 05:04    148K
php82-ldap-8.2.30-r1.apk                           18-Dec-2025 05:04     30K
php82-litespeed-8.2.30-r1.apk                      18-Dec-2025 05:04      2M
php82-mbstring-8.2.30-r1.apk                       18-Dec-2025 05:04    621K
php82-mysqli-8.2.30-r1.apk                         18-Dec-2025 05:04     41K
php82-mysqlnd-8.2.30-r1.apk                        18-Dec-2025 05:04     74K
php82-odbc-8.2.30-r1.apk                           18-Dec-2025 05:04     23K
php82-opcache-8.2.30-r1.apk                        18-Dec-2025 05:04     69K
php82-openssl-8.2.30-r1.apk                        18-Dec-2025 05:04     70K
php82-pcntl-8.2.30-r1.apk                          18-Dec-2025 05:04     14K
php82-pdlib-1.1.0-r1.apk                           26-Oct-2024 04:08    471K
php82-pdo-8.2.30-r1.apk                            18-Dec-2025 05:04     40K
php82-pdo_dblib-8.2.30-r1.apk                      18-Dec-2025 05:04     11K
php82-pdo_mysql-8.2.30-r1.apk                      18-Dec-2025 05:04     12K
php82-pdo_odbc-8.2.30-r1.apk                       18-Dec-2025 05:04     12K
php82-pdo_pgsql-8.2.30-r1.apk                      18-Dec-2025 05:04     18K
php82-pdo_sqlite-8.2.30-r1.apk                     18-Dec-2025 05:04     12K
php82-pear-8.2.30-r1.apk                           18-Dec-2025 05:04    338K
php82-pecl-amqp-2.1.2-r0.apk                       24-Oct-2025 11:07     55K
php82-pecl-apcu-5.1.28-r0.apk                      08-Dec-2025 02:17     52K
php82-pecl-apfd-1.0.3-r0.apk                       26-Oct-2024 04:08    4380
php82-pecl-ast-1.1.3-r0.apk                        24-Oct-2025 11:07     20K
php82-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 11:45     13K
php82-pecl-couchbase-4.3.0-r0.apk                  24-Oct-2025 10:33      4M
php82-pecl-decimal-1.5.0-r1.apk                    24-Oct-2025 11:07     17K
php82-pecl-ds-1.6.0-r0.apk                         24-Oct-2025 11:07     49K
php82-pecl-event-3.1.4-r0.apk                      24-Oct-2025 11:07     46K
php82-pecl-excimer-1.2.5-r0.apk                    21-May-2025 00:41     19K
php82-pecl-grpc-1.76.0-r0.apk                      25-Oct-2025 04:25      4M
php82-pecl-igbinary-3.2.17_rc1-r0.apk              28-Nov-2025 06:26     27K
php82-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 09:07    100K
php82-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 09:07    2350
php82-pecl-immutable_cache-6.1.0-r0.apk            26-Oct-2024 04:08     36K
php82-pecl-jsmin-3.0.0-r0.apk                      26-Oct-2024 04:08    9332
php82-pecl-luasandbox-4.1.2-r0.apk                 24-Oct-2025 11:07     27K
php82-pecl-lzf-1.7.0-r0.apk                        24-Oct-2025 11:07    6506
php82-pecl-mailparse-3.1.9-r0.apk                  24-Oct-2025 11:07     20K
php82-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 09:42    7968
php82-pecl-mcrypt-1.0.9-r0.apk                     24-Oct-2025 11:07     14K
php82-pecl-memcache-8.2-r2.apk                     24-Oct-2025 11:07     39K
php82-pecl-memcached-3.4.0-r0.apk                  24-Oct-2025 11:07     43K
php82-pecl-mongodb-2.1.4-r0.apk                    24-Oct-2025 11:07    769K
php82-pecl-msgpack-3.0.0-r0.apk                    24-Oct-2025 11:07     25K
php82-pecl-oauth-2.0.10-r0.apk                     10-Oct-2025 03:37     33K
php82-pecl-opentelemetry-1.2.1-r0.apk              24-Oct-2025 11:07     11K
php82-pecl-pcov-1.0.12-r0.apk                      24-Oct-2025 11:07    8961
php82-pecl-protobuf-4.32.1-r0.apk                  24-Oct-2025 11:07    130K
php82-pecl-psr-1.2.0-r1.apk                        24-Oct-2025 11:07     17K
php82-pecl-rdkafka-6.0.5-r0.apk                    24-Oct-2025 11:07     34K
php82-pecl-redis-6.3.0-r0.apk                      08-Nov-2025 01:49    169K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             26-Oct-2024 04:08     27K
php82-pecl-smbclient-1.2.0_pre-r0.apk              24-Oct-2025 11:07     19K
php82-pecl-ssh2-1.4.1-r0.apk                       24-Oct-2025 11:07     26K
php82-pecl-teds-1.3.0-r0.apk                       26-Oct-2024 04:08    101K
php82-pecl-timezonedb-2025.2-r0.apk                24-Oct-2025 11:07    191K
php82-pecl-uploadprogress-2.0.2-r2.apk             24-Oct-2025 11:07    6694
php82-pecl-uploadprogress-doc-2.0.2-r2.apk         24-Oct-2025 11:07    9822
php82-pecl-uuid-1.3.0-r0.apk                       24-Oct-2025 11:07    6316
php82-pecl-vips-1.0.13-r0.apk                      24-Oct-2025 11:07     15K
php82-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 22:42     14K
php82-pecl-xdebug-3.5.0-r0.apk                     05-Dec-2025 07:56    141K
php82-pecl-xhprof-2.3.10-r0.apk                    24-Oct-2025 11:07     11K
php82-pecl-xhprof-assets-2.3.10-r0.apk             24-Oct-2025 11:07    800K
php82-pecl-xlswriter-1.5.8-r0.apk                  24-Oct-2025 11:07    200K
php82-pecl-yaml-2.3.0-r0.apk                       18-Nov-2025 00:33     17K
php82-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 21:34     63K
php82-pecl-zstd-0.15.2-r0.apk                      24-Oct-2025 11:07     15K
php82-pgsql-8.2.30-r1.apk                          18-Dec-2025 05:04     43K
php82-phar-8.2.30-r1.apk                           18-Dec-2025 05:04    118K
php82-phpdbg-8.2.30-r1.apk                         18-Dec-2025 05:04      2M
php82-posix-8.2.30-r1.apk                          18-Dec-2025 05:04     10K
php82-pspell-8.2.30-r1.apk                         18-Dec-2025 05:04    7947
php82-session-8.2.30-r1.apk                        18-Dec-2025 05:04     36K
php82-shmop-8.2.30-r1.apk                          18-Dec-2025 05:04    5961
php82-simplexml-8.2.30-r1.apk                      18-Dec-2025 05:04     21K
php82-snappy-0.2.3-r0.apk                          12-Apr-2025 03:19    4965
php82-snmp-8.2.30-r1.apk                           18-Dec-2025 05:04     20K
php82-soap-8.2.30-r1.apk                           18-Dec-2025 05:04    130K
php82-sockets-8.2.30-r1.apk                        18-Dec-2025 05:04     35K
php82-sodium-8.2.30-r1.apk                         18-Dec-2025 05:04     28K
php82-sqlite3-8.2.30-r1.apk                        18-Dec-2025 05:04     20K
php82-sysvmsg-8.2.30-r1.apk                        18-Dec-2025 05:04    7595
php82-sysvsem-8.2.30-r1.apk                        18-Dec-2025 05:04    5609
php82-sysvshm-8.2.30-r1.apk                        18-Dec-2025 05:04    6535
php82-tidy-8.2.30-r1.apk                           18-Dec-2025 05:04     18K
php82-tokenizer-8.2.30-r1.apk                      18-Dec-2025 05:04     11K
php82-xml-8.2.30-r1.apk                            18-Dec-2025 05:04     18K
php82-xmlreader-8.2.30-r1.apk                      18-Dec-2025 05:04     13K
php82-xmlwriter-8.2.30-r1.apk                      18-Dec-2025 05:04     11K
php82-xsl-8.2.30-r1.apk                            18-Dec-2025 05:04     12K
php82-zip-8.2.30-r1.apk                            18-Dec-2025 05:04     27K
php83-pecl-apfd-1.0.3-r0.apk                       26-Oct-2024 04:08    4386
php83-pecl-eio-3.1.4-r0.apk                        24-Jul-2025 22:42     26K
php83-pecl-ev-1.2.2-r0.apk                         08-Nov-2025 10:14     36K
php83-pecl-excimer-1.2.5-r0.apk                    21-May-2025 00:41     19K
php83-pecl-jsmin-3.0.0-r0.apk                      26-Oct-2024 04:08    9323
php83-pecl-oauth-2.0.10-r0.apk                     10-Oct-2025 03:37     33K
php83-pecl-phpy-1.0.11-r1.apk                      13-May-2025 06:20     37K
php83-pecl-uv-0.3.0-r0.apk                         26-Oct-2024 04:08     48K
php83-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 22:42     14K
php83-pecl-zmq-1.1.4-r0.apk                        26-Oct-2024 04:08     29K
php84-pecl-csv-0.4.3-r0.apk                        26-Feb-2025 00:45    9482
php84-pecl-ev-1.2.2-r0.apk                         08-Nov-2025 10:14     36K
php84-pecl-mcrypt-1.0.9-r0.apk                     09-Aug-2025 01:10     14K
php84-pecl-oauth-2.0.10-r0.apk                     10-Oct-2025 03:37     33K
php84-pecl-phpy-1.0.11-r1.apk                      13-May-2025 06:20     37K
php84-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 14:10     83K
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 21:47     48K
php84-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 22:42     14K
php84-snappy-0.2.3-r0.apk                          12-Apr-2025 03:19    4960
php85-pecl-ev-1.2.2-r0.apk                         08-Nov-2025 10:14     36K
php85-pecl-luasandbox-4.1.3-r0.apk                 20-Dec-2025 20:45     28K
php85-pecl-oauth-2.0.10-r0.apk                     10-Oct-2025 03:37     34K
php85-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 14:10     83K
php85-pecl-vld-0.19.1-r1.apk                       24-Sep-2025 22:21     14K
php85-snappy-0.2.3-r0.apk                          25-Dec-2025 09:03    4966
phpactor-2025.10.17.0-r0.apk                       20-Nov-2025 12:26      4M
pick-4.0.0-r0.apk                                  26-Oct-2024 04:08    9443
pick-doc-4.0.0-r0.apk                              26-Oct-2024 04:08    3404
pict-rs-0.5.19-r1.apk                              14-Oct-2025 22:44      6M
pict-rs-openrc-0.5.19-r1.apk                       14-Oct-2025 22:44    1931
pidif-0.1-r1.apk                                   26-Oct-2024 04:08    141K
piglit-0_git20241106-r1.apk                        13-May-2025 06:20     88M
pigpio-79-r4.apk                                   26-Oct-2024 04:08    200K
pigpio-dev-79-r4.apk                               26-Oct-2024 04:08     91K
pigpio-doc-79-r4.apk                               26-Oct-2024 04:08    115K
pigpio-openrc-79-r4.apk                            26-Oct-2024 04:08    1660
pihole-6.2.3-r0.apk                                21-Jun-2025 03:05      5M
pihole-bash-completion-6.2.3-r0.apk                21-Jun-2025 03:05    2237
pihole-doc-6.2.3-r0.apk                            21-Jun-2025 03:05    3842
pihole-openrc-6.2.3-r0.apk                         21-Jun-2025 03:05    1889
pikchr-cmd-1.0.0-r0.apk                            25-Oct-2025 18:21     38K
pikchr-cmd-doc-1.0.0-r0.apk                        25-Oct-2025 18:21    2301
pimd-3.0_git20220201-r0.apk                        26-Oct-2024 04:08     76K
pimd-dense-2.1.0-r0.apk                            26-Oct-2024 04:08     46K
pimd-dense-doc-2.1.0-r0.apk                        26-Oct-2024 04:08     20K
pimd-dense-openrc-2.1.0-r0.apk                     26-Oct-2024 04:08    1897
pimd-doc-3.0_git20220201-r0.apk                    26-Oct-2024 04:08     35K
pimd-openrc-3.0_git20220201-r0.apk                 26-Oct-2024 04:08    1661
pinentry-bemenu-0.14.0-r1.apk                      06-Jul-2025 09:15    8004
piper-phonemize-2023.11.14.4-r9.apk                15-Jul-2025 19:28      9M
piper-phonemize-dev-2023.11.14.4-r9.apk            15-Jul-2025 19:28    394K
piper-phonemize-libs-2023.11.14.4-r9.apk           15-Jul-2025 19:28     68K
piper-tts-2023.11.14.2-r14.apk                     15-Jul-2025 19:28    121K
piper-tts-dev-2023.11.14.2-r14.apk                 15-Jul-2025 19:28    141K
piping-server-0.18.0-r0.apk                        26-Oct-2024 04:08      1M
piping-server-openrc-0.18.0-r0.apk                 26-Oct-2024 04:08    1837
pithos-1.6.1-r0.apk                                26-Oct-2024 04:08    104K
pithos-doc-1.6.1-r0.apk                            26-Oct-2024 04:08    2142
pithos-pyc-1.6.1-r0.apk                            26-Oct-2024 04:08    154K
pitivi-2023.03-r2.apk                              23-Dec-2024 10:13      3M
pitivi-lang-2023.03-r2.apk                         23-Dec-2024 10:13    678K
pitivi-pyc-2023.03-r2.apk                          23-Dec-2024 10:13    700K
pixi-0.24.2-r0.apk                                 26-Oct-2024 04:08      8M
pixi-bash-completion-0.24.2-r0.apk                 26-Oct-2024 04:08    7328
pixi-doc-0.24.2-r0.apk                             26-Oct-2024 04:08    7034
pixi-fish-completion-0.24.2-r0.apk                 26-Oct-2024 04:08     10K
pixi-zsh-completion-0.24.2-r0.apk                  26-Oct-2024 04:08     10K
pixiewps-1.4.2-r2.apk                              13-May-2025 06:20     47K
pixiewps-doc-1.4.2-r2.apk                          13-May-2025 06:20    3483
plakar-1.0.6-r1.apk                                04-Dec-2025 22:54     19M
plakar-doc-1.0.6-r1.apk                            04-Dec-2025 22:54    2129
planarity-4.0.1.0-r0.apk                           19-Oct-2025 14:35     22K
planarity-dev-4.0.1.0-r0.apk                       19-Oct-2025 14:35     23K
planarity-doc-4.0.1.0-r0.apk                       19-Oct-2025 14:35     13K
planarity-libs-4.0.1.0-r0.apk                      19-Oct-2025 14:35     58K
planner-0.14.92-r1.apk                             09-Dec-2024 06:36    313K
planner-doc-0.14.92-r1.apk                         09-Dec-2024 06:36    2207
planner-lang-0.14.92-r1.apk                        09-Dec-2024 06:36    825K
platformio-core-6.1.7-r3.apk                       26-Oct-2024 04:08    263K
platformio-core-pyc-6.1.7-r3.apk                   26-Oct-2024 04:08    552K
please-0.5.6-r0.apk                                29-Aug-2025 17:10    923K
please-doc-0.5.6-r0.apk                            29-Aug-2025 17:10     16K
plfit-1.0.1-r0.apk                                 04-Jan-2025 12:47     35K
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 12:47    6634
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 12:47     26K
plfit-static-1.0.1-r0.apk                          04-Jan-2025 12:47     29K
plib-1.8.5-r3.apk                                  26-Oct-2024 04:08    836K
plots-0.7.0-r1.apk                                 06-Nov-2024 18:41    516K
plplot-5.15.0-r2.apk                               26-Oct-2024 04:08     31K
plplot-dev-5.15.0-r2.apk                           26-Oct-2024 04:08     59K
plplot-doc-5.15.0-r2.apk                           26-Oct-2024 04:08    311K
plplot-libs-5.15.0-r2.apk                          26-Oct-2024 04:08    176K
pmccabe-2.8-r1.apk                                 26-Oct-2024 04:08     23K
pmccabe-doc-2.8-r1.apk                             26-Oct-2024 04:08    7319
pneink-theme-1.3-r0.apk                            30-Jul-2025 13:56     10K
pneink-theme-doc-1.3-r0.apk                        30-Jul-2025 13:56    1689
pnmixer-0.7.2-r3.apk                               26-Oct-2024 04:08    138K
pnmixer-doc-0.7.2-r3.apk                           26-Oct-2024 04:08    2332
pnmixer-lang-0.7.2-r3.apk                          26-Oct-2024 04:08     25K
pokoy-0.2.5-r0.apk                                 26-Oct-2024 04:08    8445
pokoy-doc-0.2.5-r0.apk                             26-Oct-2024 04:08    3067
policycoreutils-3.6-r1.apk                         26-Oct-2024 04:08     50K
policycoreutils-bash-completion-3.6-r1.apk         26-Oct-2024 04:08    2467
policycoreutils-doc-3.6-r1.apk                     26-Oct-2024 04:08     22K
policycoreutils-lang-3.6-r1.apk                    26-Oct-2024 04:08    105K
pomo-0.8.1-r28.apk                                 04-Dec-2025 22:54      2M
pomo-doc-0.8.1-r28.apk                             04-Dec-2025 22:54    2804
pongoos-loader-0_git20210704-r1.apk                26-Oct-2024 04:08    2427
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 16:55     13M
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 16:55      1M
popeye-0.22.1-r9.apk                               04-Dec-2025 22:54     27M
porla-0.41.0-r2.apk                                24-Feb-2025 23:53      3M
porla-doc-0.41.0-r2.apk                            24-Feb-2025 23:53    2249
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 23:53    2751
portsmf-239-r2.apk                                 14-Oct-2025 05:02     49K
portsmf-dev-239-r2.apk                             14-Oct-2025 05:02     20K
postgresql-pg_later-0.0.14-r1.apk                  26-Oct-2024 04:08    561K
postgresql-pg_variables-1.2.5_git20230922-r1.apk   04-Nov-2025 01:12     20K
postgresql-pg_variables-bitcode-1.2.5_git202309..> 04-Nov-2025 01:12     47K
postgresql-pgmq-1.1.1-r1.apk                       26-Oct-2024 04:08    236K
postgresql16-wal2json-2.6-r0.apk                   26-Oct-2024 04:08     68K
pounce-3.1-r4.apk                                  13-Sep-2025 10:00     26K
pounce-doc-3.1-r4.apk                              13-Sep-2025 10:00    8747
pounce-openrc-3.1-r4.apk                           13-Sep-2025 10:00    2811
powder-toy-97.0.352-r1.apk                         26-Oct-2024 04:08    756K
powerstat-0.04.01-r0.apk                           26-Oct-2024 04:08     19K
powerstat-bash-completion-0.04.01-r0.apk           26-Oct-2024 04:08    2322
powerstat-doc-0.04.01-r0.apk                       26-Oct-2024 04:08    4336
pptpclient-1.10.0-r6.apk                           02-Jul-2025 03:10     31K
pptpclient-doc-1.10.0-r6.apk                       02-Jul-2025 03:10    7384
pqiv-2.12-r1.apk                                   26-Oct-2024 04:08     59K
pqiv-doc-2.12-r1.apk                               26-Oct-2024 04:08     12K
predict-2.3.1-r0.apk                               24-Nov-2024 14:46     85K
predict-doc-2.3.1-r0.apk                           24-Nov-2024 14:46     16K
primecount-7.20-r0.apk                             26-Nov-2025 04:08     27K
primecount-dev-7.20-r0.apk                         26-Nov-2025 04:08      2M
primecount-doc-7.20-r0.apk                         26-Nov-2025 04:08    4314
primecount-libs-7.20-r0.apk                        26-Nov-2025 04:08    120K
primesieve-12.10-r0.apk                            26-Nov-2025 04:08     41K
primesieve-dev-12.10-r0.apk                        26-Nov-2025 04:08      1M
primesieve-doc-12.10-r0.apk                        26-Nov-2025 04:08    4164
primesieve-libs-12.10-r0.apk                       26-Nov-2025 04:08    111K
prjtrellis-1.4-r2.apk                              26-Oct-2024 04:08      1M
prjtrellis-db-0_git20230929-r0.apk                 26-Oct-2024 04:08    3355
prjtrellis-db-ecp5-0_git20230929-r0.apk            26-Oct-2024 04:08      2M
prjtrellis-db-machxo-0_git20230929-r0.apk          26-Oct-2024 04:08     39K
prjtrellis-db-machxo2-0_git20230929-r0.apk         26-Oct-2024 04:08   1013K
prjtrellis-db-machxo3-0_git20230929-r0.apk         26-Oct-2024 04:08      1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk        26-Oct-2024 04:08    748K
projectm-3.1.12-r2.apk                             26-Oct-2024 04:08    399K
projectm-dev-3.1.12-r2.apk                         26-Oct-2024 04:08    632K
projectm-presets-3.1.12-r2.apk                     26-Oct-2024 04:08      4M
projectm-pulseaudio-3.1.12-r2.apk                  26-Oct-2024 04:08    366K
projectm-pulseaudio-doc-3.1.12-r2.apk              26-Oct-2024 04:08    2051
projectm-sdl-3.1.12-r2.apk                         26-Oct-2024 04:08    283K
projectsandcastle-loader-0_git20200307-r1.apk      26-Oct-2024 04:08    5086
prometheus-fastly-exporter-10.2.0-r0.apk           24-Dec-2025 06:03      5M
prometheus-opnsense-exporter-0.0.11-r2.apk         04-Dec-2025 22:54      5M
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk  04-Dec-2025 22:54    2147
prometheus-podman-exporter-1.18.1-r2.apk           04-Dec-2025 22:54     15M
prometheus-rethinkdb-exporter-1.0.1-r33.apk        04-Dec-2025 22:54      4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk 04-Dec-2025 22:54    1709
prometheus-smartctl-exporter-0.14.0-r5.apk         04-Dec-2025 22:54      5M
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk  04-Dec-2025 22:54    1938
prometheus-smtp2go-exporter-0.1.1-r4.apk           04-Dec-2025 22:54      3M
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk    04-Dec-2025 22:54    2022
prometheus-unbound-exporter-0.4.6-r5.apk           12-May-2025 16:06      4M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk    12-May-2025 16:06    2007
proot-5.4.0-r1.apk                                 26-Oct-2024 04:08     62K
proot-doc-5.4.0-r1.apk                             26-Oct-2024 04:08     10K
proot-static-5.4.0-r1.apk                          26-Oct-2024 04:08     95K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       26-Oct-2024 04:08    2882
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        26-Oct-2024 04:08    1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        26-Oct-2024 04:08    2702
prosody-mod-block_registrations-0.11_hg20201208..> 26-Oct-2024 04:08    1807
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       26-Oct-2024 04:08    3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk       26-Oct-2024 04:08    1894
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 26-Oct-2024 04:08    2051
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    26-Oct-2024 04:08    7388
prosody-mod-conversejs-0.11_hg20201208-r0.apk      26-Oct-2024 04:08    3345
prosody-mod-host_guard-0.11_hg20201208-r0.apk      26-Oct-2024 04:08    2898
prosody-mod-http_upload_external-0.11_hg2020120..> 26-Oct-2024 04:08    2961
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         26-Oct-2024 04:08    2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        26-Oct-2024 04:08    1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 26-Oct-2024 04:08    2237
prosody-mod-mam-0.11_hg20201208-r0.apk             26-Oct-2024 04:08    6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         26-Oct-2024 04:08    5686
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 26-Oct-2024 04:08    7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        26-Oct-2024 04:08    3808
prosody-mod-register_json-0.11_hg20201208-r0.apk   26-Oct-2024 04:08    104K
prosody-mod-register_redirect-0.11_hg20201208-r..> 26-Oct-2024 04:08    2772
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  26-Oct-2024 04:08    2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     26-Oct-2024 04:08    1752
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 26-Oct-2024 04:08    2062
prosody-mod-saslname-0.11_hg20201208-r0.apk        26-Oct-2024 04:08    1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   26-Oct-2024 04:08    2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          26-Oct-2024 04:08    8786
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  26-Oct-2024 04:08    2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 26-Oct-2024 04:08    2073
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       26-Oct-2024 04:08    2725
prosody-mod-webpresence-0.11_hg20201208-r0.apk     26-Oct-2024 04:08    2698
prosody-modules-0.11_hg20201208-r0.apk             26-Oct-2024 04:08    1488
protoc-gen-bq-schema-3.1.0-r0.apk                  25-Dec-2025 00:23      2M
protoc-gen-go-1.36.10-r1.apk                       04-Dec-2025 22:54      2M
protoc-gen-go-grpc-1.77.0-r0.apk                   23-Dec-2025 00:14      2M
protoc-gen-gorm-1.1.5-r0.apk                       25-Dec-2025 00:02      2M
protoconf-0.1.7-r18.apk                            04-Dec-2025 22:54      7M
prowlarr-2.3.0.5236-r0.apk                         14-Dec-2025 02:15     19M
prowlarr-openrc-2.3.0.5236-r0.apk                  14-Dec-2025 02:15    2082
psftools-1.1.2-r0.apk                              26-Oct-2024 04:08    190K
psftools-dev-1.1.2-r0.apk                          26-Oct-2024 04:08     41K
psftools-doc-1.1.2-r0.apk                          26-Oct-2024 04:08     60K
psi-notify-1.3.1-r0.apk                            26-Oct-2024 04:08     11K
psi-plus-1.5.2096-r0.apk                           30-Sep-2025 21:50      9M
psi-plus-plugins-1.5.2096-r0.apk                   30-Sep-2025 21:50      2M
pspp-2.0.1-r1.apk                                  08-Oct-2025 19:22      9M
pspp-dbg-2.0.1-r1.apk                              08-Oct-2025 19:22      4M
pspp-doc-2.0.1-r1.apk                              08-Oct-2025 19:22    9212
psst-0_git20240526-r1.apk                          26-Oct-2024 04:08      7M
ptouch-print-1.7-r0.apk                            13-Sep-2025 22:05     25K
ptouch-print-doc-1.7-r0.apk                        13-Sep-2025 22:05    3208
ptpd-2.3.1-r1.apk                                  26-Oct-2024 04:08    175K
ptpd-doc-2.3.1-r1.apk                              26-Oct-2024 04:08     20K
ptpd-openrc-2.3.1-r1.apk                           26-Oct-2024 04:08    2443
ptylie-0.2-r2.apk                                  13-May-2025 06:20     10K
ptylie-doc-0.2-r2.apk                              13-May-2025 06:20    3234
pug-0.6.5-r0.apk                                   04-Dec-2025 22:54      3M
pully-1.0.0-r0.apk                                 26-Oct-2024 04:08    2586
pully-openrc-1.0.0-r0.apk                          26-Oct-2024 04:08    1760
pulsar-client-cpp-3.7.1-r0.apk                     12-Jun-2025 21:11      1M
pulsar-client-cpp-dev-3.7.1-r0.apk                 12-Jun-2025 21:11     64K
pulseview-0.4.2-r8.apk                             26-Oct-2024 04:08    808K
pulseview-doc-0.4.2-r8.apk                         26-Oct-2024 04:08    3748
punch-0.1.1-r0.apk                                 11-Dec-2025 02:06     30K
punch-pyc-0.1.1-r0.apk                             11-Dec-2025 02:06     31K
pure-1.23.0-r0.apk                                 20-Oct-2025 22:57     18K
pure-doc-1.23.0-r0.apk                             20-Oct-2025 22:57    8103
purple-facebook-0.9.6-r0.apk                       26-Oct-2024 04:08     65K
purple-hangouts-0_git20200422-r0.apk               26-Oct-2024 04:08    188K
pw-volume-0.5.0-r1.apk                             26-Oct-2024 04:08    282K
pwauth-2.3.11-r2.apk                               26-Oct-2024 04:08    4137
pwauth-doc-2.3.11-r2.apk                           26-Oct-2024 04:08    6965
pxalarm-3.0.0-r0.apk                               26-Oct-2024 04:08    2949
pxmenu-1.0.0-r1.apk                                26-Oct-2024 04:08    2947
py3-actdiag-3.0.0-r5.apk                           26-Oct-2024 04:08     17K
py3-actdiag-pyc-3.0.0-r5.apk                       26-Oct-2024 04:08     21K
py3-aesedb-0.1.8-r0.apk                            03-Nov-2025 15:49     34K
py3-aesedb-examples-0.1.8-r0.apk                   03-Nov-2025 15:49    3504
py3-aesedb-pyc-0.1.8-r0.apk                        03-Nov-2025 15:49     75K
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 20:44     19K
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 20:44     21K
py3-aiodocker-0.21.0-r1.apk                        26-Oct-2024 04:08     29K
py3-aiodocker-pyc-0.21.0-r1.apk                    26-Oct-2024 04:08     60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              26-Oct-2024 04:08    446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          26-Oct-2024 04:08     51K
py3-aiohttp-jinja2-1.6-r2.apk                      26-Oct-2024 04:08     12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  26-Oct-2024 04:08    9450
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 21:28     10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 21:28     19K
py3-aiohttp-session-2.12.1-r0.apk                  26-Oct-2024 04:08     10K
py3-aiohttp-session-pyc-2.12.1-r0.apk              26-Oct-2024 04:08     15K
py3-aioopenssl-0.6.0-r4.apk                        26-Oct-2024 04:08     21K
py3-aioopenssl-pyc-0.6.0-r4.apk                    26-Oct-2024 04:08     19K
py3-aiosasl-0.5.0-r4.apk                           26-Oct-2024 04:08     30K
py3-aiosasl-doc-0.5.0-r4.apk                       26-Oct-2024 04:08     16K
py3-aiosasl-pyc-0.5.0-r4.apk                       26-Oct-2024 04:08     24K
py3-aiosmb-0.4.14-r0.apk                           03-Nov-2025 15:49    585K
py3-aiosmb-examples-0.4.14-r0.apk                  03-Nov-2025 15:49     38K
py3-aiosmb-pyc-0.4.14-r0.apk                       03-Nov-2025 15:49      1M
py3-aiowinreg-0.0.13-r0.apk                        03-Nov-2025 15:49     22K
py3-aiowinreg-pyc-0.0.13-r0.apk                    03-Nov-2025 15:49     44K
py3-aioxmpp-0.13.3-r3.apk                          26-Oct-2024 04:08    388K
py3-aioxmpp-doc-0.13.3-r3.apk                      26-Oct-2024 04:08     18K
py3-aioxmpp-pyc-0.13.3-r3.apk                      26-Oct-2024 04:08    673K
py3-allfiles-1.0-r8.apk                            26-Oct-2024 04:08    3652
py3-allfiles-pyc-1.0-r8.apk                        26-Oct-2024 04:08    3343
py3-altgraph-0.17.4-r1.apk                         26-Oct-2024 04:08     21K
py3-altgraph-pyc-0.17.4-r1.apk                     26-Oct-2024 04:08     29K
py3-ansible-pylibssh-1.2.2-r0.apk                  24-Jul-2025 22:42    226K
py3-anyascii-0.3.2-r1.apk                          26-Oct-2024 04:08    275K
py3-anyascii-pyc-0.3.2-r1.apk                      26-Oct-2024 04:08    3409
py3-apicula-0.11.1-r1.apk                          26-Oct-2024 04:08      8M
py3-apicula-pyc-0.11.1-r1.apk                      26-Oct-2024 04:08    179K
py3-apio-0.9.5-r0.apk                              26-Oct-2024 04:08     72K
py3-apio-pyc-0.9.5-r0.apk                          26-Oct-2024 04:08     77K
py3-apsw-3.51.1.0-r0.apk                           01-Dec-2025 03:51    897K
py3-apsw-pyc-3.51.1.0-r0.apk                       01-Dec-2025 03:51    586K
py3-apt-3.1.0-r0.apk                               21-Dec-2025 12:05    164K
py3-apt-lang-3.1.0-r0.apk                          21-Dec-2025 12:05     80K
py3-apt-pyc-3.1.0-r0.apk                           21-Dec-2025 12:05    119K
py3-arcus-5.3.0-r5.apk                             12-Jun-2025 21:11     70K
py3-asif-0.3.2-r3.apk                              26-Oct-2024 04:08     13K
py3-asif-pyc-0.3.2-r3.apk                          26-Oct-2024 04:08     26K
py3-ask-0.0.8-r8.apk                               26-Oct-2024 04:08    5119
py3-ask-pyc-0.0.8-r8.apk                           26-Oct-2024 04:08    4582
py3-astral-3.2-r3.apk                              26-Oct-2024 04:08     37K
py3-astral-pyc-3.2-r3.apk                          26-Oct-2024 04:08     59K
py3-asyauth-0.0.23-r0.apk                          03-Nov-2025 15:49     84K
py3-asyauth-pyc-0.0.23-r0.apk                      03-Nov-2025 15:49    186K
py3-asysocks-0.2.18-r0.apk                         03-Nov-2025 15:49     88K
py3-asysocks-examples-0.2.18-r0.apk                03-Nov-2025 15:49     36K
py3-asysocks-pyc-0.2.18-r0.apk                     03-Nov-2025 15:49    320K
py3-avro-1.11.3-r1.apk                             26-Oct-2024 04:08     98K
py3-avro-pyc-1.11.3-r1.apk                         26-Oct-2024 04:08    191K
py3-b2sdk-2.10.2-r0.apk                            17-Dec-2025 03:41    227K
py3-b2sdk-pyc-2.10.2-r0.apk                        17-Dec-2025 03:41    429K
py3-banal-1.0.6-r4.apk                             26-Oct-2024 04:08    7042
py3-banal-pyc-1.0.6-r4.apk                         26-Oct-2024 04:08    7383
py3-bandwidth-sdk-3.1.0-r8.apk                     26-Oct-2024 04:08     46K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 26-Oct-2024 04:08     69K
py3-barcodenumber-0.2.1-r10.apk                    26-Oct-2024 04:08     16K
py3-barcodenumber-pyc-0.2.1-r10.apk                26-Oct-2024 04:08    4362
py3-beartype-0.22.9-r0.apk                         16-Dec-2025 03:33      1M
py3-beartype-pyc-0.22.9-r0.apk                     16-Dec-2025 03:33    744K
py3-bencode-4.0.0-r1.apk                           26-Oct-2024 04:08     17K
py3-bencode-pyc-4.0.0-r1.apk                       26-Oct-2024 04:08     10K
py3-bibtexparser-1.4.3-r0.apk                      25-Jan-2025 16:04     40K
py3-bibtexparser-pyc-1.4.3-r0.apk                  25-Jan-2025 16:04     49K
py3-bidict-0.23.1-r1.apk                           26-Oct-2024 04:08     28K
py3-bidict-pyc-0.23.1-r1.apk                       26-Oct-2024 04:08     29K
py3-bite-parser-0.2.5-r0.apk                       29-Oct-2024 06:51     14K
py3-bite-parser-pyc-0.2.5-r0.apk                   29-Oct-2024 06:51     23K
py3-bitstruct-8.19.0-r1.apk                        26-Oct-2024 04:08     33K
py3-bitstruct-pyc-8.19.0-r1.apk                    26-Oct-2024 04:08     13K
py3-bleak-0.22.3-r0.apk                            26-Oct-2024 04:08    370K
py3-blockchain-1.4.4-r7.apk                        26-Oct-2024 04:08     11K
py3-blockchain-pyc-1.4.4-r7.apk                    26-Oct-2024 04:08     18K
py3-blockdiag-3.0.0-r6.apk                         11-Jan-2025 00:33     68K
py3-blockdiag-pyc-3.0.0-r6.apk                     11-Jan-2025 00:33    149K
py3-blockdiag-tests-3.0.0-r6.apk                   11-Jan-2025 00:33      3M
py3-bookkeeper-4.17.2-r0.apk                       15-Jul-2025 19:28     43K
py3-bookkeeper-pyc-4.17.2-r0.apk                   15-Jul-2025 19:28     67K
py3-bottle-api-0.0.4-r7.apk                        26-Oct-2024 04:08    4991
py3-bottle-api-pyc-0.0.4-r7.apk                    26-Oct-2024 04:08    5341
py3-bottle-pgsql-0.2-r5.apk                        26-Oct-2024 04:08    4374
py3-bottle-redis-0.2.3-r6.apk                      26-Oct-2024 04:08    3382
py3-bottle-redis-pyc-0.2.3-r6.apk                  26-Oct-2024 04:08    3203
py3-bottle-renderer-0.1.1-r9.apk                   26-Oct-2024 04:08    4081
py3-bottle-renderer-pyc-0.1.1-r9.apk               26-Oct-2024 04:08    3829
py3-bottle-request-0.2.0-r9.apk                    26-Oct-2024 04:08    3289
py3-bottle-request-pyc-0.2.0-r9.apk                26-Oct-2024 04:08    2662
py3-bottle-rest-0.6.0-r1.apk                       26-Oct-2024 04:08    6258
py3-bottle-rest-pyc-0.6.0-r1.apk                   26-Oct-2024 04:08    5300
py3-bottle-session-1.0-r6.apk                      26-Oct-2024 04:08     10K
py3-bottle-session-pyc-1.0-r6.apk                  26-Oct-2024 04:08    7982
py3-bottle-sqlalchemy-0.4.3-r8.apk                 26-Oct-2024 04:08    4965
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             26-Oct-2024 04:08    5767
py3-bottle-sqlite-0.2.0-r7.apk                     26-Oct-2024 04:08    4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 26-Oct-2024 04:08    5364
py3-bottle-websocket-0.2.9-r8.apk                  26-Oct-2024 04:08    4751
py3-bottle-websocket-pyc-0.2.9-r8.apk              26-Oct-2024 04:08    3211
py3-bottle-werkzeug-0.1.1-r9.apk                   26-Oct-2024 04:08    4190
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               26-Oct-2024 04:08    4357
py3-bson-0.5.10-r6.apk                             26-Oct-2024 04:08     12K
py3-bson-pyc-0.5.10-r6.apk                         26-Oct-2024 04:08     19K
py3-businesstime-0.3.0-r9.apk                      26-Oct-2024 04:08     11K
py3-businesstime-pyc-0.3.0-r9.apk                  26-Oct-2024 04:08     16K
py3-c3d-0.5.2-r1.apk                               26-Oct-2024 04:08     32K
py3-c3d-pyc-0.5.2-r1.apk                           26-Oct-2024 04:08     54K
py3-caldav-2.2.3-r0.apk                            08-Dec-2025 04:13    113K
py3-caldav-pyc-2.2.3-r0.apk                        08-Dec-2025 04:13    154K
py3-cassandra-driver-3.29.2-r0.apk                 26-Oct-2024 04:08    286K
py3-cassandra-driver-pyc-3.29.2-r0.apk             26-Oct-2024 04:08    560K
py3-catkin-pkg-0.5.2-r4.apk                        26-Oct-2024 04:08     57K
py3-catkin-pkg-pyc-0.5.2-r4.apk                    26-Oct-2024 04:08    103K
py3-cchardet-2.1.7-r5.apk                          26-Oct-2024 04:08    120K
py3-cchardet-pyc-2.1.7-r5.apk                      26-Oct-2024 04:08    3124
py3-cdio-2.1.1-r6.apk                              25-Jan-2025 16:04     96K
py3-cdio-pyc-2.1.1-r6.apk                          25-Jan-2025 16:04     43K
py3-certauth-1.3.0-r1.apk                          26-Oct-2024 04:08    8914
py3-certauth-pyc-1.3.0-r1.apk                      26-Oct-2024 04:08    9309
py3-chameleon-4.6.0-r0.apk                         06-Jul-2025 18:18     97K
py3-chameleon-pyc-4.6.0-r0.apk                     06-Jul-2025 18:18    131K
py3-ciso8601-2.3.3-r0.apk                          11-Nov-2025 02:32     16K
py3-cjkwrap-2.2-r6.apk                             15-May-2025 04:18    4624
py3-cjkwrap-pyc-2.2-r6.apk                         15-May-2025 04:18    5376
py3-class-doc-1.25-r1.apk                          26-Oct-2024 04:08    6204
py3-class-doc-pyc-1.25-r1.apk                      26-Oct-2024 04:08    8918
py3-click-completion-0.5.2-r1.apk                  26-Oct-2024 04:08     11K
py3-click-completion-pyc-0.5.2-r1.apk              26-Oct-2024 04:08     14K
py3-click-default-group-1.2.4-r1.apk               26-Oct-2024 04:08    5270
py3-click-default-group-pyc-1.2.4-r1.apk           26-Oct-2024 04:08    4636
py3-click-threading-0.5.0-r5.apk                   26-Oct-2024 04:08    6498
py3-click-threading-pyc-0.5.0-r5.apk               26-Oct-2024 04:08    8042
py3-clickclick-20.10.2-r4.apk                      26-Oct-2024 04:08    8143
py3-clickclick-pyc-20.10.2-r4.apk                  26-Oct-2024 04:08     10K
py3-cmd2-2.4.3-r2.apk                              26-Oct-2024 04:08    139K
py3-cmd2-pyc-2.4.3-r2.apk                          26-Oct-2024 04:08    223K
py3-cobs-1.2.0-r4.apk                              26-Oct-2024 04:08     16K
py3-cobs-pyc-1.2.0-r4.apk                          26-Oct-2024 04:08     12K
py3-colander-2.0-r2.apk                            26-Oct-2024 04:08     62K
py3-colander-pyc-2.0-r2.apk                        26-Oct-2024 04:08     42K
py3-colorthief-0.2.1-r1.apk                        26-Oct-2024 04:08    7489
py3-colorthief-pyc-0.2.1-r1.apk                    26-Oct-2024 04:08     10K
py3-columnize-0.3.11-r4.apk                        26-Oct-2024 04:08    8728
py3-columnize-pyc-0.3.11-r4.apk                    26-Oct-2024 04:08    7662
py3-compdb-0.2.0-r8.apk                            26-Oct-2024 04:08     23K
py3-compdb-doc-0.2.0-r8.apk                        26-Oct-2024 04:08    3115
py3-compdb-pyc-0.2.0-r8.apk                        26-Oct-2024 04:08     40K
py3-confusable-homoglyphs-3.3.1-r0.apk             26-Sep-2025 09:43    137K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk         26-Sep-2025 09:43    9587
py3-cookiecutter-2.6.0-r1.apk                      26-Oct-2024 04:08     35K
py3-cookiecutter-doc-2.6.0-r1.apk                  26-Oct-2024 04:08    3816
py3-cookiecutter-pyc-2.6.0-r1.apk                  26-Oct-2024 04:08     47K
py3-coreapi-2.3.3-r9.apk                           26-Oct-2024 04:08     22K
py3-coreapi-pyc-2.3.3-r9.apk                       26-Oct-2024 04:08     43K
py3-crc16-0.1.1-r10.apk                            26-Oct-2024 04:08     12K
py3-crc16-pyc-0.1.1-r10.apk                        26-Oct-2024 04:08    4820
py3-createrepo_c-1.1.4-r0.apk                      26-Oct-2024 04:08     40K
py3-createrepo_c-pyc-1.1.4-r0.apk                  26-Oct-2024 04:08     15K
py3-croniter-6.0.0-r0.apk                          25-Aug-2025 18:05     26K
py3-croniter-pyc-6.0.0-r0.apk                      25-Aug-2025 18:05     26K
py3-cryptg-0.5.0-r0.apk                            11-May-2025 20:57    166K
py3-cryptg-pyc-0.5.0-r0.apk                        11-May-2025 20:57    1952
py3-cssutils-2.11.1-r1.apk                         26-Oct-2024 04:08    155K
py3-cssutils-pyc-2.11.1-r1.apk                     26-Oct-2024 04:08    279K
py3-cstruct-6.1-r0.apk                             22-Aug-2025 08:06     23K
py3-cstruct-pyc-6.1-r0.apk                         22-Aug-2025 08:06     38K
py3-cucumber-tag-expressions-6.1.1-r0.apk          02-Dec-2024 05:13    8875
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      02-Dec-2024 05:13     10K
py3-cvxpy-1.2.1-r5.apk                             26-Oct-2024 04:08    632K
py3-cvxpy-pyc-1.2.1-r5.apk                         26-Oct-2024 04:08    936K
py3-cython-test-exception-raiser-25.11.0-r0.apk    12-Nov-2025 04:23     17K
py3-cython-test-exception-raiser-pyc-25.11.0-r0..> 12-Nov-2025 04:23    1882
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 19:33     16K
py3-dataclasses-json-0.6.7-r0.apk                  26-Oct-2024 04:08     27K
py3-dataclasses-json-pyc-0.6.7-r0.apk              26-Oct-2024 04:08     36K
py3-dataclasses-serialization-1.3.1-r3.apk         26-Oct-2024 04:08     11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     26-Oct-2024 04:08     14K
py3-dateparser-1.2.2-r0.apk                        07-Jul-2025 04:46    216K
py3-dateparser-pyc-1.2.2-r0.apk                    07-Jul-2025 04:46    335K
py3-daterangestr-0.0.3-r8.apk                      26-Oct-2024 04:08    4458
py3-daterangestr-pyc-0.0.3-r8.apk                  26-Oct-2024 04:08    4324
py3-dbus-fast-3.1.2-r0.apk                         24-Nov-2025 06:15    737K
py3-dbus-fast-doc-3.1.2-r0.apk                     24-Nov-2025 06:15    6395
py3-dbus-fast-pyc-3.1.2-r0.apk                     24-Nov-2025 06:15    130K
py3-deluge-client-1.10.2-r0.apk                    26-Oct-2024 04:08     13K
py3-deluge-client-doc-1.10.2-r0.apk                26-Oct-2024 04:08    2308
py3-deluge-client-pyc-1.10.2-r0.apk                26-Oct-2024 04:08     20K
py3-dexml-0.5.1-r9.apk                             26-Oct-2024 04:08     22K
py3-dexml-pyc-0.5.1-r9.apk                         26-Oct-2024 04:08     37K
py3-discid-1.3.0-r0.apk                            29-Jul-2025 06:30     13K
py3-discid-pyc-1.3.0-r0.apk                        29-Jul-2025 06:30     13K
py3-distorm3-3.5.2-r6.apk                          26-Oct-2024 04:08     46K
py3-distorm3-pyc-3.5.2-r6.apk                      26-Oct-2024 04:08     49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 26-Oct-2024 04:08     15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 26-Oct-2024 04:08     15K
py3-django-suit-0.2.28-r8.apk                      26-Oct-2024 04:08    366K
py3-django-suit-pyc-0.2.28-r8.apk                  26-Oct-2024 04:08     32K
py3-django-taggit-serializer-0.1.7-r8.apk          26-Oct-2024 04:08    4121
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      26-Oct-2024 04:08    5077
py3-dnslib-0.9.26-r0.apk                           02-Sep-2025 03:15     56K
py3-dnslib-pyc-0.9.26-r0.apk                       02-Sep-2025 03:15    109K
py3-dogpile.cache-1.3.3-r1.apk                     15-May-2025 03:17     53K
py3-dogpile.cache-pyc-1.3.3-r1.apk                 15-May-2025 03:17     90K
py3-doi-0.2-r0.apk                                 12-Apr-2025 21:23    6310
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 21:23    4861
py3-doit-0.36.0-r5.apk                             26-Oct-2024 04:08     77K
py3-doit-pyc-0.36.0-r5.apk                         26-Oct-2024 04:08    133K
py3-dominate-2.9.1-r1.apk                          26-Oct-2024 04:08     25K
py3-dominate-pyc-2.9.1-r1.apk                      26-Oct-2024 04:08     34K
py3-dotty-dict-1.3.1-r4.apk                        26-Oct-2024 04:08    8599
py3-dotty-dict-pyc-1.3.1-r4.apk                    26-Oct-2024 04:08    8892
py3-downloader-cli-0.3.4-r2.apk                    15-May-2025 03:17     11K
py3-downloader-cli-pyc-0.3.4-r2.apk                15-May-2025 03:17     14K
py3-dpath-2.2.0-r0.apk                             26-Oct-2024 04:08     17K
py3-dpath-pyc-2.2.0-r0.apk                         26-Oct-2024 04:08     18K
py3-drf-yasg-1.21.10-r0.apk                        15-Jul-2025 19:28      4M
py3-drf-yasg-pyc-1.21.10-r0.apk                    15-Jul-2025 19:28     98K
py3-dunamai-1.25.0-r0.apk                          26-Jul-2025 07:23     27K
py3-dunamai-pyc-1.25.0-r0.apk                      26-Jul-2025 07:23     44K
py3-duniterpy-1.1.1-r3.apk                         26-Oct-2024 04:08    221K
py3-dweepy-0.3.0-r7.apk                            26-Oct-2024 04:08    9304
py3-dweepy-pyc-0.3.0-r7.apk                        26-Oct-2024 04:08    6409
py3-ecbdata-0.1.1-r0.apk                           14-Apr-2025 09:06     13K
py3-ecos-2.0.11-r4.apk                             26-Oct-2024 04:08     27K
py3-ecos-pyc-2.0.11-r4.apk                         26-Oct-2024 04:08    3718
py3-edalize-0.5.4-r0.apk                           26-Oct-2024 04:08    123K
py3-edalize-pyc-0.5.4-r0.apk                       26-Oct-2024 04:08    190K
py3-editdistance-s-1.0.0-r6.apk                    26-Oct-2024 04:08     14K
py3-editdistance-s-pyc-1.0.0-r6.apk                26-Oct-2024 04:08    2073
py3-empy-3.3.4-r7.apk                              26-Oct-2024 04:08     39K
py3-empy-pyc-3.3.4-r7.apk                          26-Oct-2024 04:08     58K
py3-engineio-4.12.3-r0.apk                         27-Nov-2025 18:35     49K
py3-engineio-doc-4.12.3-r0.apk                     27-Nov-2025 18:35     33K
py3-engineio-pyc-4.12.3-r0.apk                     27-Nov-2025 18:35    104K
py3-enlighten-1.14.1-r0.apk                        29-Aug-2025 01:22     37K
py3-enlighten-pyc-1.14.1-r0.apk                    29-Aug-2025 01:22     46K
py3-enzyme-0.5.2-r0.apk                            15-Jul-2025 19:28     23K
py3-enzyme-pyc-0.5.2-r0.apk                        15-Jul-2025 19:28     19K
py3-eradicate-2.3.0-r2.apk                         26-Oct-2024 04:08    7752
py3-eradicate-doc-2.3.0-r2.apk                     26-Oct-2024 04:08    2583
py3-eradicate-pyc-2.3.0-r2.apk                     26-Oct-2024 04:08    8548
py3-euclid3-0.01-r8.apk                            26-Oct-2024 04:08     14K
py3-euclid3-pyc-0.01-r8.apk                        26-Oct-2024 04:08     33K
py3-evalidate-2.1.3-r0.apk                         16-Dec-2025 23:54     14K
py3-evalidate-pyc-2.1.3-r0.apk                     16-Dec-2025 23:54     12K
py3-eventlet-0.38.1-r0.apk                         12-Dec-2024 06:35    332K
py3-eventlet-pyc-0.38.1-r0.apk                     12-Dec-2024 06:35    336K
py3-evohome-client-0.3.9-r0.apk                    15-Jul-2025 19:28     19K
py3-evohome-client-pyc-0.3.9-r0.apk                15-Jul-2025 19:28     27K
py3-fastdiff-0.3.0-r5.apk                          26-Oct-2024 04:08     38K
py3-fastdiff-pyc-0.3.0-r5.apk                      26-Oct-2024 04:08    4302
py3-feedgen-1.0.0-r1.apk                           26-Oct-2024 04:08     40K
py3-feedgen-pyc-1.0.0-r1.apk                       26-Oct-2024 04:08     62K
py3-feedgenerator-2.1.0-r2.apk                     26-Oct-2024 04:08     18K
py3-feedgenerator-pyc-2.1.0-r2.apk                 26-Oct-2024 04:08     27K
py3-ffmpeg-0.2.0-r5.apk                            15-May-2025 03:17     24K
py3-ffmpeg-pyc-0.2.0-r5.apk                        15-May-2025 03:17     33K
py3-firmata-1.0.3-r10.apk                          26-Oct-2024 04:08     14K
py3-firmata-pyc-1.0.3-r10.apk                      26-Oct-2024 04:08     21K
py3-flake8-blind-except-0.2.1-r4.apk               26-Oct-2024 04:08    5329
py3-flake8-blind-except-pyc-0.2.1-r4.apk           26-Oct-2024 04:08    2651
py3-flake8-builtins-2.5.0-r0.apk                   08-Dec-2024 06:51     13K
py3-flake8-builtins-pyc-2.5.0-r0.apk               08-Dec-2024 06:51    8066
py3-flake8-copyright-0.2.4-r3.apk                  26-Oct-2024 04:08     18K
py3-flake8-copyright-pyc-0.2.4-r3.apk              26-Oct-2024 04:08    3415
py3-flake8-debugger-4.1.2-r4.apk                   26-Oct-2024 04:08    6387
py3-flake8-debugger-pyc-4.1.2-r4.apk               26-Oct-2024 04:08    6109
py3-flake8-import-order-0.18.2-r4.apk              26-Oct-2024 04:08     15K
py3-flake8-import-order-pyc-0.18.2-r4.apk          26-Oct-2024 04:08     17K
py3-flake8-isort-7.0.0-r0.apk                      26-Oct-2025 05:12     18K
py3-flake8-isort-pyc-7.0.0-r0.apk                  26-Oct-2025 05:12    5489
py3-flake8-polyfill-1.0.2-r5.apk                   26-Oct-2024 04:08    7242
py3-flake8-polyfill-pyc-1.0.2-r5.apk               26-Oct-2024 04:08    5828
py3-flake8-print-5.0.0-r5.apk                      26-Oct-2024 04:08    6883
py3-flake8-print-pyc-5.0.0-r5.apk                  26-Oct-2024 04:08    4516
py3-flake8-snippets-0.2-r8.apk                     26-Oct-2024 04:08    5449
py3-flake8-snippets-pyc-0.2-r8.apk                 26-Oct-2024 04:08    3757
py3-flake8-todo-0.7-r7.apk                         26-Oct-2024 04:08    3682
py3-flake8-todo-pyc-0.7-r7.apk                     26-Oct-2024 04:08    2286
py3-flask-accept-0.0.7-r0.apk                      15-Jul-2025 19:28    5709
py3-flask-accept-pyc-0.0.7-r0.apk                  15-Jul-2025 19:28    3764
py3-flask-admin-1.6.1-r3.apk                       26-Oct-2024 04:08      7M
py3-flask-admin-pyc-1.6.1-r3.apk                   26-Oct-2024 04:08    358K
py3-flask-autorouter-0.2.2-r3.apk                  26-Oct-2024 04:08    5205
py3-flask-autorouter-pyc-0.2.2-r3.apk              26-Oct-2024 04:08    5069
py3-flask-basicauth-0.2.0-r9.apk                   26-Oct-2024 04:08    5465
py3-flask-basicauth-pyc-0.2.0-r9.apk               26-Oct-2024 04:08    4174
py3-flask-bcrypt-1.0.1-r5.apk                      26-Oct-2024 04:08    7315
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  26-Oct-2024 04:08    5941
py3-flask-bootstrap-3.3.7.1-r9.apk                 13-May-2025 06:20    449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk             13-May-2025 06:20     11K
py3-flask-cache-0.13.1-r9.apk                      26-Oct-2024 04:08     13K
py3-flask-cache-pyc-0.13.1-r9.apk                  26-Oct-2024 04:08     18K
py3-flask-cdn-1.5.3-r8.apk                         26-Oct-2024 04:08    4876
py3-flask-cdn-pyc-1.5.3-r8.apk                     26-Oct-2024 04:08    4178
py3-flask-components-0.1.1-r9.apk                  26-Oct-2024 04:08    4024
py3-flask-components-pyc-0.1.1-r9.apk              26-Oct-2024 04:08    3386
py3-flask-dbconfig-0.3.12-r8.apk                   26-Oct-2024 04:08     86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk               26-Oct-2024 04:08    6405
py3-flask-flatpages-0.8.3-r0.apk                   07-Dec-2024 07:57     11K
py3-flask-flatpages-pyc-0.8.3-r0.apk               07-Dec-2024 07:57     14K
py3-flask-gzip-0.2-r8.apk                          26-Oct-2024 04:08    3258
py3-flask-gzip-pyc-0.2-r8.apk                      26-Oct-2024 04:08    2896
py3-flask-headers-1.0-r9.apk                       26-Oct-2024 04:08    3281
py3-flask-headers-pyc-1.0-r9.apk                   26-Oct-2024 04:08    2511
py3-flask-httpauth-4.8.0-r3.apk                    29-Nov-2025 20:38    8028
py3-flask-httpauth-pyc-4.8.0-r3.apk                29-Nov-2025 20:38     11K
py3-flask-json-schema-0.0.5-r4.apk                 26-Oct-2024 04:08    4196
py3-flask-json-schema-pyc-0.0.5-r4.apk             26-Oct-2024 04:08    3522
py3-flask-limiter-3.10.1-r0.apk                    25-Jan-2025 16:04     27K
py3-flask-limiter-pyc-3.10.1-r0.apk                25-Jan-2025 16:04     47K
py3-flask-loopback-1.4.7-r7.apk                    26-Oct-2024 04:08    5703
py3-flask-loopback-pyc-1.4.7-r7.apk                26-Oct-2024 04:08    8114
py3-flask-mailman-1.1.1-r0.apk                     26-Oct-2024 04:08     16K
py3-flask-mailman-pyc-1.1.1-r0.apk                 26-Oct-2024 04:08     26K
py3-flask-markdown-0.3-r8.apk                      26-Oct-2024 04:08    5747
py3-flask-markdown-pyc-0.3-r8.apk                  26-Oct-2024 04:08    3952
py3-flask-migrate-4.1.0-r0.apk                     15-Jul-2025 19:28     13K
py3-flask-migrate-pyc-4.1.0-r0.apk                 15-Jul-2025 19:28     18K
py3-flask-paginate-0.8.1-r6.apk                    26-Oct-2024 04:08    8412
py3-flask-paginate-pyc-0.8.1-r6.apk                26-Oct-2024 04:08     11K
py3-flask-peewee-3.0.6-r0.apk                      26-Oct-2024 04:08    172K
py3-flask-peewee-pyc-3.0.6-r0.apk                  26-Oct-2024 04:08     95K
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 15:32     18K
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 15:32    6301
py3-flask-restaction-0.25.3-r8.apk                 26-Oct-2024 04:08    115K
py3-flask-restaction-pyc-0.25.3-r8.apk             26-Oct-2024 04:08     20K
py3-flask-restless-0.17.0-r9.apk                   26-Oct-2024 04:08     40K
py3-flask-restless-pyc-0.17.0-r9.apk               26-Oct-2024 04:08     59K
py3-flask-security-5.6.2-r0.apk                    10-Nov-2025 12:16    297K
py3-flask-security-pyc-5.6.2-r0.apk                10-Nov-2025 12:16    227K
py3-flask-socketio-5.5.1-r0.apk                    09-Sep-2025 22:17     18K
py3-flask-socketio-doc-5.5.1-r0.apk                09-Sep-2025 22:17     23K
py3-flask-socketio-pyc-5.5.1-r0.apk                09-Sep-2025 22:17     26K
py3-flask-themer-2.0.0-r2.apk                      26-Oct-2024 04:08    8086
py3-flask-themer-pyc-2.0.0-r2.apk                  26-Oct-2024 04:08    7139
py3-forbiddenfruit-0.1.4-r2.apk                    26-Oct-2024 04:08    9180
py3-forbiddenfruit-pyc-0.1.4-r2.apk                26-Oct-2024 04:08    9892
py3-fpdf-1.7.2-r5.apk                              26-Oct-2024 04:08     40K
py3-fpdf-pyc-1.7.2-r5.apk                          26-Oct-2024 04:08     89K
py3-freetype-py-2.5.1-r0.apk                       26-Oct-2024 04:08    161K
py3-furl-2.1.4-r0.apk                              15-Jul-2025 19:28     28K
py3-furl-pyc-2.1.4-r0.apk                          15-Jul-2025 19:28     32K
py3-gdcm-3.2.2-r3.apk                              02-Dec-2025 14:04    667K
py3-geoip-1.3.2-r4.apk                             26-Oct-2024 04:08     22K
py3-gevent-websocket-0.10.1-r8.apk                 26-Oct-2024 04:08     20K
py3-gevent-websocket-pyc-0.10.1-r8.apk             26-Oct-2024 04:08     30K
py3-git-versioner-7.1-r1.apk                       26-Oct-2024 04:08     12K
py3-git-versioner-pyc-7.1-r1.apk                   26-Oct-2024 04:08     14K
py3-github3-4.0.1-r1.apk                           26-Oct-2024 04:08    128K
py3-github3-pyc-4.0.1-r1.apk                       26-Oct-2024 04:08    227K
py3-glob2-0.7-r6.apk                               26-Oct-2024 04:08     10K
py3-glob2-pyc-0.7-r6.apk                           26-Oct-2024 04:08     13K
py3-gls-1.3.1-r1.apk                               26-Oct-2024 04:08     47K
py3-gls-pyc-1.3.1-r1.apk                           26-Oct-2024 04:08     84K
py3-google-trans-new-1.1.9-r2.apk                  26-Oct-2024 04:08    9452
py3-google-trans-new-pyc-1.1.9-r2.apk              26-Oct-2024 04:08     11K
py3-googletrans-3.0.0-r5.apk                       26-Oct-2024 04:08     15K
py3-googletrans-pyc-3.0.0-r5.apk                   26-Oct-2024 04:08     17K
py3-grequests-0.7.0-r3.apk                         15-May-2025 03:17    6883
py3-grequests-pyc-0.7.0-r3.apk                     15-May-2025 03:17    5984
py3-gtkspellcheck-5.0.3-r1.apk                     09-Aug-2025 09:22     45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk                 09-Aug-2025 09:22     30K
py3-halo-0.0.31-r5.apk                             26-Oct-2024 04:08     11K
py3-halo-pyc-0.0.31-r5.apk                         26-Oct-2024 04:08     14K
py3-hatch-openzim-0.2.0-r0.apk                     26-Oct-2024 04:08     25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           26-Oct-2024 04:08     24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       26-Oct-2024 04:08     13K
py3-hatch-openzim-pyc-0.2.0-r0.apk                 26-Oct-2024 04:08     13K
py3-helper-2.5.0-r5.apk                            26-Oct-2024 04:08     19K
py3-helper-pyc-2.5.0-r5.apk                        26-Oct-2024 04:08     28K
py3-hfst-3.16.2-r0.apk                             30-Mar-2025 03:40    344K
py3-hg-git-1.1.1-r1.apk                            26-Oct-2024 04:08     70K
py3-hg-git-pyc-1.1.1-r1.apk                        26-Oct-2024 04:08    107K
py3-himitsu-0.0.9-r0.apk                           25-Aug-2025 19:57    5949
py3-himitsu-pyc-0.0.9-r0.apk                       25-Aug-2025 19:57    7727
py3-hishel-0.1.4-r0.apk                            15-Oct-2025 21:50     77K
py3-hishel-pyc-0.1.4-r0.apk                        15-Oct-2025 21:50    144K
py3-html5-parser-0.4.12-r1.apk                     26-Oct-2024 04:08    154K
py3-html5-parser-pyc-0.4.12-r1.apk                 26-Oct-2024 04:08     22K
py3-hurry.filesize-0.9-r8.apk                      26-Oct-2024 04:08    4723
py3-hurry.filesize-pyc-0.9-r8.apk                  26-Oct-2024 04:08    3333
py3-icalendar-searcher-1.0.3-r0.apk                08-Dec-2025 04:13     35K
py3-icalendar-searcher-pyc-1.0.3-r0.apk            08-Dec-2025 04:13     26K
py3-igraph-1.0.0-r0.apk                            28-Oct-2025 11:16    402K
py3-igraph-dev-1.0.0-r0.apk                        28-Oct-2025 11:16    2665
py3-igraph-pyc-1.0.0-r0.apk                        28-Oct-2025 11:16    374K
py3-imageio-2.37.0-r0.apk                          15-Jul-2025 19:28    285K
py3-imageio-ffmpeg-0.4.9-r1.apk                    26-Oct-2024 04:08     16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                26-Oct-2024 04:08     20K
py3-imageio-pyc-2.37.0-r0.apk                      15-Jul-2025 19:28    504K
py3-imdbpy-2021.4.18-r5.apk                        26-Oct-2024 04:08    229K
py3-imdbpy-pyc-2021.4.18-r5.apk                    26-Oct-2024 04:08    243K
py3-incoming-0.3.1-r8.apk                          26-Oct-2024 04:08     13K
py3-incoming-pyc-0.3.1-r8.apk                      26-Oct-2024 04:08     20K
py3-infinity-1.5-r6.apk                            26-Oct-2024 04:08    4487
py3-infinity-pyc-1.5-r6.apk                        26-Oct-2024 04:08    3832
py3-iniparse-0.5-r7.apk                            26-Oct-2024 04:08     19K
py3-iniparse-doc-0.5-r7.apk                        26-Oct-2024 04:08     10K
py3-iniparse-pyc-0.5-r7.apk                        26-Oct-2024 04:08     25K
py3-intervals-0.9.2-r5.apk                         26-Oct-2024 04:08    9673
py3-intervals-pyc-0.9.2-r5.apk                     26-Oct-2024 04:08     15K
py3-ioctl-opt-1.3-r0.apk                           28-Jan-2025 06:37     12K
py3-ioctl-opt-pyc-1.3-r0.apk                       28-Jan-2025 06:37    4759
py3-irc-20.4.1-r1.apk                              08-Oct-2025 19:22     40K
py3-irc-pyc-20.4.1-r1.apk                          08-Oct-2025 19:22     70K
py3-isbnlib-3.10.14-r0.apk                         25-Jan-2025 16:04     43K
py3-isbnlib-pyc-3.10.14-r0.apk                     25-Jan-2025 16:04     67K
py3-iso639-lang-2.6.3-r0.apk                       04-Dec-2025 22:54    311K
py3-iso639-lang-pyc-2.6.3-r0.apk                   04-Dec-2025 22:54     12K
py3-itemadapter-0.10.0-r0.apk                      01-Dec-2024 05:42     11K
py3-itemadapter-pyc-0.10.0-r0.apk                  01-Dec-2024 05:42     13K
py3-itemloaders-1.3.2-r1.apk                       09-Aug-2025 09:22     12K
py3-itemloaders-pyc-1.3.2-r1.apk                   09-Aug-2025 09:22     17K
py3-iterable-io-1.0.0-r0.apk                       26-Oct-2024 04:08    6182
py3-iterable-io-pyc-1.0.0-r0.apk                   26-Oct-2024 04:08    5381
py3-itunespy-1.6-r5.apk                            15-May-2025 03:17    9974
py3-itunespy-pyc-1.6-r5.apk                        15-May-2025 03:17     15K
py3-janus-1.2.0-r0.apk                             13-Dec-2024 13:57     12K
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 13:57     13K
py3-jaraco.logging-3.4.0-r0.apk                    20-Jun-2025 13:10    5443
py3-jaraco.logging-pyc-3.4.0-r0.apk                20-Jun-2025 13:10    6258
py3-jaraco.path-3.7.2-r0.apk                       26-Oct-2024 04:08    7803
py3-jaraco.path-pyc-3.7.2-r0.apk                   26-Oct-2024 04:08    9755
py3-jaraco.stream-3.0.4-r0.apk                     15-Dec-2024 07:50    6875
py3-jaraco.stream-pyc-3.0.4-r0.apk                 15-Dec-2024 07:50    8270
py3-jaraco.vcs-2.4.1-r0.apk                        11-Mar-2025 05:53     10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    11-Mar-2025 05:53     16K
py3-jaraco.versioning-1.1.0-r0.apk                 26-Oct-2024 04:08    6050
py3-jaraco.versioning-pyc-1.1.0-r0.apk             26-Oct-2024 04:08    6250
py3-joserfc-1.5.0-r0.apk                           04-Dec-2025 22:54     54K
py3-joserfc-pyc-1.5.0-r0.apk                       04-Dec-2025 22:54    110K
py3-junit-xml-1.9-r3.apk                           26-Oct-2024 04:08    8537
py3-junit-xml-pyc-1.9-r3.apk                       26-Oct-2024 04:08    9537
py3-kazoo-0_git20211202-r4.apk                     26-Oct-2024 04:08    125K
py3-kazoo-pyc-0_git20211202-r4.apk                 26-Oct-2024 04:08    245K
py3-keepalive-0.5-r5.apk                           26-Oct-2024 04:08    9187
py3-keepalive-doc-0.5-r5.apk                       26-Oct-2024 04:08    2035
py3-keepalive-pyc-0.5-r5.apk                       26-Oct-2024 04:08     13K
py3-kerberos-1.3.1-r5.apk                          26-Oct-2024 04:08     16K
py3-knowit-0.5.11-r0.apk                           24-Nov-2025 09:03     32K
py3-knowit-pyc-0.5.11-r0.apk                       24-Nov-2025 09:03     62K
py3-landlock-1.0.0_pre4-r2.apk                     26-Oct-2024 04:08    8610
py3-landlock-pyc-1.0.0_pre4-r2.apk                 26-Oct-2024 04:08    9751
py3-langcodes-3.3.0-r2.apk                         26-Oct-2024 04:08    174K
py3-langcodes-pyc-3.3.0-r2.apk                     26-Oct-2024 04:08    110K
py3-language-data-1.3.0-r0.apk                     02-Dec-2024 05:08      5M
py3-language-data-pyc-1.3.0-r0.apk                 02-Dec-2024 05:08      3M
py3-latex2mathml-3.78.1-r1.apk                     30-Sep-2025 03:48     72K
py3-latex2mathml-pyc-3.78.1-r1.apk                 30-Sep-2025 03:48     35K
py3-lib_users-0.15-r4.apk                          26-Oct-2024 04:08     16K
py3-lib_users-pyc-0.15-r4.apk                      26-Oct-2024 04:08    9736
py3-libacl-0.7.3-r0.apk                            17-Oct-2025 07:04     25K
py3-libcec-rpi-6.0.2-r4.apk                        26-Oct-2024 04:08    102K
py3-libguestfs-1.56.1-r0.apk                       24-Jul-2025 22:42    176K
py3-libiio-0.25-r2.apk                             26-Oct-2024 04:08     13K
py3-liblarch-3.2.0-r6.apk                          09-Dec-2024 06:36     30K
py3-liblarch-pyc-3.2.0-r6.apk                      09-Dec-2024 06:36     50K
py3-libmdbx-0.10.2-r7.apk                          26-Oct-2024 04:08     28K
py3-libmdbx-pyc-0.10.2-r7.apk                      26-Oct-2024 04:08     33K
py3-libnacl-2.1.0-r1.apk                           26-Oct-2024 04:08     20K
py3-libnacl-pyc-2.1.0-r1.apk                       26-Oct-2024 04:08     30K
py3-libpyshell-0.4.1-r1.apk                        10-Jun-2025 18:39     12K
py3-libpyshell-pyc-0.4.1-r1.apk                    10-Jun-2025 18:39     18K
py3-librtmp-0.3.0-r6.apk                           26-Oct-2024 04:08     32K
py3-librtmp-pyc-0.3.0-r6.apk                       26-Oct-2024 04:08     25K
py3-limits-3.14.1-r0.apk                           26-Dec-2024 03:58     33K
py3-limits-pyc-3.14.1-r0.apk                       26-Dec-2024 03:58     71K
py3-linkify-it-py-2.0.3-r1.apk                     26-Oct-2024 04:08     21K
py3-linkify-it-py-pyc-2.0.3-r1.apk                 26-Oct-2024 04:08     23K
py3-litex-hub-modules-2024.04-r0.apk               26-Oct-2024 04:08    1660
py3-litex-hub-modules-pyc-2024.04-r0.apk           26-Oct-2024 04:08      1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 26-Oct-2024 04:08      6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 26-Oct-2024 04:08      2M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 26-Oct-2024 04:08    934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   26-Oct-2024 04:08    500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   26-Oct-2024 04:08     10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   26-Oct-2024 04:08      2M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   26-Oct-2024 04:08    112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 26-Oct-2024 04:08    208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 26-Oct-2024 04:08     19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 26-Oct-2024 04:08     45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 26-Oct-2024 04:08    221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 26-Oct-2024 04:08    7737
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 26-Oct-2024 04:08    230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 26-Oct-2024 04:08     57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   26-Oct-2024 04:08    675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 26-Oct-2024 04:08    713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 26-Oct-2024 04:08      2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 26-Oct-2024 04:08     58K
py3-litex-hub-pythondata-software-compiler_rt-2..> 26-Oct-2024 04:08      2M
py3-litex-hub-pythondata-software-picolibc-2024..> 26-Oct-2024 04:08      5M
py3-litex-hub-valentyusb-2024.04-r0.apk            26-Oct-2024 04:08    112K
py3-livestream-2.1.0-r0.apk                        26-Nov-2024 07:22    766K
py3-livestream-pyc-2.1.0-r0.apk                    26-Nov-2024 07:22     30K
py3-log-symbols-0.0.14-r5.apk                      26-Oct-2024 04:08    4305
py3-log-symbols-pyc-0.0.14-r5.apk                  26-Oct-2024 04:08    3141
py3-logtop-0.7-r1.apk                              09-Aug-2025 09:22     19K
py3-logtop-pyc-0.7-r1.apk                          09-Aug-2025 09:22    4175
py3-lsp-black-2.0.0-r1.apk                         26-Oct-2024 04:08    7684
py3-lsp-black-pyc-2.0.0-r1.apk                     26-Oct-2024 04:08    6523
py3-lsp-mypy-0.7.0-r1.apk                          30-Aug-2025 10:39     13K
py3-lsp-mypy-pyc-0.7.0-r1.apk                      30-Aug-2025 10:39     13K
py3-lsprotocol-2023.0.1-r1.apk                     26-Oct-2024 04:08     69K
py3-lsprotocol-pyc-2023.0.1-r1.apk                 26-Oct-2024 04:08    107K
py3-luhn-0.2.0-r9.apk                              26-Oct-2024 04:08    4075
py3-luhn-pyc-0.2.0-r9.apk                          26-Oct-2024 04:08    2695
py3-lunr-0.6.2-r4.apk                              26-Oct-2024 04:08     32K
py3-lunr-pyc-0.6.2-r4.apk                          26-Oct-2024 04:08     51K
py3-lxmf-0.8.0-r0.apk                              24-Sep-2025 05:48     51K
py3-lxmf-pyc-0.8.0-r0.apk                          24-Sep-2025 05:48    109K
py3-ly-0.9.9-r0.apk                                15-Jul-2025 19:28    187K
py3-ly-doc-0.9.9-r0.apk                            15-Jul-2025 19:28    8257
py3-ly-pyc-0.9.9-r0.apk                            15-Jul-2025 19:28    352K
py3-lzo-1.16-r1.apk                                26-Oct-2024 04:08     16K
py3-lzo-pyc-1.16-r1.apk                            26-Oct-2024 04:08    2015
py3-m2crypto-0.41.0-r2.apk                         26-Oct-2024 04:08    186K
py3-m2crypto-pyc-0.41.0-r2.apk                     26-Oct-2024 04:08    123K
py3-maidenhead-1.8.0-r0.apk                        11-Aug-2025 06:05    8135
py3-maidenhead-doc-1.8.0-r0.apk                    11-Aug-2025 06:05    3775
py3-maidenhead-pyc-1.8.0-r0.apk                    11-Aug-2025 06:05    8147
py3-mando-0.8.2-r0.apk                             18-Dec-2025 20:02     22K
py3-mando-doc-0.8.2-r0.apk                         18-Dec-2025 20:02    4269
py3-mando-pyc-0.8.2-r0.apk                         18-Dec-2025 20:02     35K
py3-manuel-1.13.0-r1.apk                           15-Oct-2025 00:04     39K
py3-manuel-pyc-1.13.0-r1.apk                       15-Oct-2025 00:04     26K
py3-mapbox-earcut-1.0.1-r2.apk                     26-Oct-2024 04:08     54K
py3-marisa-trie-1.3.1-r0.apk                       29-Aug-2025 18:31    125K
py3-markdown2-2.5.0-r0.apk                         26-Oct-2024 04:08     47K
py3-markdown2-pyc-2.5.0-r0.apk                     26-Oct-2024 04:08     75K
py3-markdownify-1.2.2-r0.apk                       28-Nov-2025 01:00     16K
py3-markdownify-pyc-1.2.2-r0.apk                   28-Nov-2025 01:00     18K
py3-marshmallow-3.26.1-r0.apk                      22-Feb-2025 21:17     48K
py3-marshmallow-enum-1.5.1-r7.apk                  26-Oct-2024 04:08    5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              26-Oct-2024 04:08    4558
py3-marshmallow-pyc-3.26.1-r0.apk                  22-Feb-2025 21:17     85K
py3-mbedtls-2.10.1-r3.apk                          29-May-2025 20:58    883K
py3-mbedtls-pyc-2.10.1-r3.apk                      29-May-2025 20:58     27K
py3-meshtastic-2.7.2-r0.apk                        16-Sep-2025 22:46    540K
py3-migen-0.9.2-r2.apk                             26-Oct-2024 04:08    143K
py3-migen-pyc-0.9.2-r2.apk                         26-Oct-2024 04:08    296K
py3-milc-1.9.1-r0.apk                              26-Jan-2025 01:04     26K
py3-milc-pyc-1.9.1-r0.apk                          26-Jan-2025 01:04     41K
py3-minidb-2.0.8-r0.apk                            14-Nov-2024 03:52     10K
py3-minidb-pyc-2.0.8-r0.apk                        14-Nov-2024 03:52     23K
py3-minidump-0.0.24-r1.apk                         29-May-2025 20:58     64K
py3-minidump-pyc-0.0.24-r1.apk                     29-May-2025 20:58    129K
py3-minikerberos-0.4.9-r0.apk                      03-Nov-2025 15:49    123K
py3-minikerberos-examples-0.4.9-r0.apk             03-Nov-2025 15:49     17K
py3-minikerberos-pyc-0.4.9-r0.apk                  03-Nov-2025 15:49    287K
py3-minio-7.2.18-r0.apk                            10-Nov-2025 12:17     75K
py3-minio-pyc-7.2.18-r0.apk                        10-Nov-2025 12:17    155K
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 20:44     45K
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 20:44     93K
py3-mistune1-0.8.4-r6.apk                          10-Nov-2025 03:52     14K
py3-mistune1-pyc-0.8.4-r6.apk                      10-Nov-2025 03:52     21K
py3-mnemonic-0.21-r0.apk                           26-Oct-2024 04:08     95K
py3-mnemonic-doc-0.21-r0.apk                       26-Oct-2024 04:08    2458
py3-mnemonic-pyc-0.21-r0.apk                       26-Oct-2024 04:08    9922
py3-modbus-tk-1.1.1-r4.apk                         26-Oct-2024 04:08     25K
py3-modbus-tk-pyc-1.1.1-r4.apk                     26-Oct-2024 04:08     48K
py3-modern_colorthief-0.1.7-r0.apk                 20-Jun-2025 13:10    715K
py3-modern_colorthief-pyc-0.1.7-r0.apk             20-Jun-2025 13:10    2666
py3-mopidy-jellyfin-1.0.4-r4.apk                   26-Oct-2024 04:08     25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               26-Oct-2024 04:08     37K
py3-mopidy-local-3.3.0-r0.apk                      02-Jan-2025 07:25     28K
py3-mopidy-local-pyc-3.3.0-r0.apk                  02-Jan-2025 07:25     34K
py3-mopidy-mpd-3.3.0-r4.apk                        26-Oct-2024 04:08     46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    26-Oct-2024 04:08     74K
py3-mopidy-tidal-0.3.2-r6.apk                      26-Oct-2024 04:08     25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  26-Oct-2024 04:08     36K
py3-more-properties-1.1.1-r3.apk                   26-Oct-2024 04:08    7614
py3-more-properties-pyc-1.1.1-r3.apk               26-Oct-2024 04:08    8421
py3-moviepy-1.0.3-r6.apk                           26-Oct-2024 04:08     94K
py3-moviepy-pyc-1.0.3-r6.apk                       26-Oct-2024 04:08    156K
py3-msldap-0.5.15-r2.apk                           17-Oct-2025 23:35    127K
py3-msldap-examples-0.5.15-r2.apk                  17-Oct-2025 23:35     18K
py3-msldap-pyc-0.5.15-r2.apk                       17-Oct-2025 23:35    330K
py3-mss-10.0.0-r0.apk                              14-Nov-2024 22:10     51K
py3-natpmp-1.3.2-r1.apk                            26-Oct-2024 04:08    9637
py3-natpmp-pyc-1.3.2-r1.apk                        26-Oct-2024 04:08     10K
py3-ncclient-0.6.13-r5.apk                         26-Oct-2024 04:08     68K
py3-ncclient-pyc-0.6.13-r5.apk                     26-Oct-2024 04:08    107K
py3-netifaces2-0.0.22-r0.apk                       26-Oct-2024 04:08    171K
py3-netifaces2-pyc-0.0.22-r0.apk                   26-Oct-2024 04:08    9431
py3-netmiko-4.6.0-r0.apk                           14-Aug-2025 00:31    192K
py3-netmiko-pyc-4.6.0-r0.apk                       14-Aug-2025 00:31    372K
py3-nmap-0.7.1-r4.apk                              26-Oct-2024 04:08     20K
py3-nmap-pyc-0.7.1-r4.apk                          26-Oct-2024 04:08     25K
py3-nose-timer-1.0.1-r6.apk                        26-Oct-2024 04:08    9597
py3-nose-timer-pyc-1.0.1-r6.apk                    26-Oct-2024 04:08     10K
py3-notifymail-1.1-r8.apk                          26-Oct-2024 04:08    7758
py3-notifymail-pyc-1.1-r8.apk                      26-Oct-2024 04:08    5878
py3-nptyping-2.5.0-r3.apk                          26-Oct-2024 04:08     21K
py3-nptyping-pyc-2.5.0-r3.apk                      26-Oct-2024 04:08     32K
py3-ntplib-0.4.0-r5.apk                            26-Oct-2024 04:08    7573
py3-ntplib-pyc-0.4.0-r5.apk                        26-Oct-2024 04:08    8829
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 11:04     21K
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 11:04     28K
py3-nwdiag-3.0.0-r3.apk                            26-Oct-2024 04:08      5M
py3-nwdiag-pyc-3.0.0-r3.apk                        26-Oct-2024 04:08     78K
py3-okonomiyaki-2.0.0-r1.apk                       15-Oct-2025 10:02      8M
py3-okonomiyaki-pyc-2.0.0-r1.apk                   15-Oct-2025 10:02    243K
py3-openapi-codec-1.3.2-r9.apk                     26-Oct-2024 04:08    7834
py3-openapi-codec-pyc-1.3.2-r9.apk                 26-Oct-2024 04:08     12K
py3-opendht-3.1.11-r0.apk                          28-Jan-2025 05:56    144K
py3-openssh-wrapper-0.5_git20130425-r4.apk         26-Oct-2024 04:08    8444
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     26-Oct-2024 04:08     10K
py3-openwisp-utils-1.0.4-r4.apk                    26-Oct-2024 04:08    498K
py3-openwisp-utils-pyc-1.0.4-r4.apk                26-Oct-2024 04:08     42K
py3-orderedmultidict-1.0.1-r7.apk                  26-Oct-2024 04:08     12K
py3-orderedmultidict-pyc-1.0.1-r7.apk              26-Oct-2024 04:08     17K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          26-Oct-2024 04:08     10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      26-Oct-2024 04:08    8417
py3-ovos-backend-client-1.0.0-r0.apk               26-Oct-2024 04:08     46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk           26-Oct-2024 04:08     91K
py3-ovos-bus-client-1.3.4-r0.apk                   11-May-2025 20:57     50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk               11-May-2025 20:57     89K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          26-Oct-2024 04:08    103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      26-Oct-2024 04:08    164K
py3-ovos-config-2.1.1-r0.apk                       16-Jul-2025 03:37     47K
py3-ovos-config-pyc-2.1.1-r0.apk                   16-Jul-2025 03:37     35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         26-Oct-2024 04:08    358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     26-Oct-2024 04:08    437K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk       16-Jul-2025 05:03    9084
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk   16-Jul-2025 05:03    4878
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      26-Oct-2024 04:08    550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  26-Oct-2024 04:08     86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            26-Oct-2024 04:08     47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        26-Oct-2024 04:08    104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               26-Oct-2024 04:08    8268
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           26-Oct-2024 04:08    3480
py3-ovos-ocp-news-plugin-0.1.1-r0.apk              16-Jul-2025 05:03     11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk          16-Jul-2025 05:03    9094
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk               16-Jul-2025 05:03    8363
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk           16-Jul-2025 05:03    3982
py3-ovos-phal-plugin-connectivity-events-0.1.2-..> 16-Jul-2025 05:03    9017
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 16-Jul-2025 05:03    5195
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            26-Oct-2024 04:08    4634
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        26-Oct-2024 04:08    4477
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 22:31     95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 22:31     11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 22:31     12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 22:31    9891
py3-ovos-phal-plugin-system-1.3.3-r0.apk           16-Jul-2025 01:49     11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk       16-Jul-2025 01:49     10K
py3-ovos-plugin-manager-1.0.3-r0.apk               16-Jul-2025 04:34     86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk           16-Jul-2025 04:34    167K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     26-Oct-2024 04:08     10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 26-Oct-2024 04:08    6928
py3-ovos-translate-server-plugin-0.0.0-r0.apk      26-Oct-2024 04:08    8533
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  26-Oct-2024 04:08    4204
py3-ovos-tts-plugin-piper-0.0.1-r0.apk             26-Oct-2024 04:08     12K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk         26-Oct-2024 04:08     11K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    26-Oct-2024 04:08    9740
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 26-Oct-2024 04:08    5576
py3-ovos-utils-0.8.1-r0.apk                        16-Jul-2025 05:03     73K
py3-ovos-utils-pyc-0.8.1-r0.apk                    16-Jul-2025 05:03    130K
py3-ovos-workshop-7.0.6-r0.apk                     16-Jul-2025 04:29     92K
py3-ovos-workshop-pyc-7.0.6-r0.apk                 16-Jul-2025 04:29    165K
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk               16-Jul-2025 04:29     11K
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk           16-Jul-2025 04:29     13K
py3-owslib-0.35.0-r0.apk                           03-Nov-2025 04:15    195K
py3-owslib-pyc-0.35.0-r0.apk                       03-Nov-2025 04:15    425K
py3-pacparser-1.4.5-r1.apk                         26-Oct-2024 04:08    368K
py3-pacparser-pyc-1.4.5-r1.apk                     26-Oct-2024 04:08    4135
py3-padacioso-0.2.1-r0.apk                         26-Oct-2024 04:08     11K
py3-padacioso-pyc-0.2.1-r0.apk                     26-Oct-2024 04:08     12K
py3-pam-2.0.2-r2.apk                               26-Oct-2024 04:08     11K
py3-pam-pyc-2.0.2-r2.apk                           26-Oct-2024 04:08     13K
py3-pathvalidate-3.3.1-r0.apk                      15-Jul-2025 19:28     19K
py3-pathvalidate-pyc-3.3.1-r0.apk                  15-Jul-2025 19:28     34K
py3-pbkdf2-1.3-r7.apk                              26-Oct-2024 04:08    6444
py3-pbkdf2-pyc-1.3-r7.apk                          26-Oct-2024 04:08    7247
py3-pdal-3.4.5-r1.apk                              22-Jun-2025 06:46    156K
py3-pdal-pyc-3.4.5-r1.apk                          22-Jun-2025 06:46     13K
py3-pdoc-15.0.4-r0.apk                             13-Oct-2025 06:19    133K
py3-pdoc-pyc-15.0.4-r0.apk                         13-Oct-2025 06:19    169K
py3-pelican-4.9.1-r2.apk                           26-Oct-2024 04:08    234K
py3-pelican-pyc-4.9.1-r2.apk                       26-Oct-2024 04:08    147K
py3-pep8-naming-0.14.1-r0.apk                      26-Oct-2024 04:08     10K
py3-pep8-naming-pyc-0.14.1-r0.apk                  26-Oct-2024 04:08     13K
py3-phpserialize-1.3-r8.apk                        26-Oct-2024 04:08    9063
py3-phpserialize-pyc-1.3-r8.apk                    26-Oct-2024 04:08     11K
py3-phx-class-registry-5.0.0-r0.apk                26-Oct-2024 04:08     13K
py3-phx-class-registry-doc-5.0.0-r0.apk            26-Oct-2024 04:08    2278
py3-phx-class-registry-pyc-5.0.0-r0.apk            26-Oct-2024 04:08     17K
py3-piccata-2.0.3-r1.apk                           26-Oct-2024 04:08     20K
py3-piccata-pyc-2.0.3-r1.apk                       26-Oct-2024 04:08     34K
py3-pickle-secure-0.99.9-r1.apk                    26-Oct-2024 04:08    7681
py3-pickle-secure-pyc-0.99.9-r1.apk                26-Oct-2024 04:08    5488
py3-pigpio-79-r4.apk                               26-Oct-2024 04:08     93K
py3-pillow_heif-0.18.0-r0.apk                      26-Oct-2024 04:08     40K
py3-pillow_heif-pyc-0.18.0-r0.apk                  26-Oct-2024 04:08     36K
py3-pip-system-certs-4.0-r1.apk                    26-Oct-2024 04:08    7153
py3-pip-system-certs-pyc-4.0-r1.apk                26-Oct-2024 04:08    4768
py3-piper-phonemize-2023.11.14.4-r9.apk            15-Jul-2025 19:28    138K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk        15-Jul-2025 19:28    3428
py3-piper-tts-2023.11.14.2-r14.apk                 15-Jul-2025 19:28     41K
py3-playsound-1.3.0-r1.apk                         26-Oct-2024 04:08    7028
py3-playsound-pyc-1.3.0-r1.apk                     26-Oct-2024 04:08    8749
py3-plotly-5.24.1-r2.apk                           19-Apr-2025 07:10     20M
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 15:28     19K
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 15:28     33K
py3-pockethernet-0.7.0-r4.apk                      26-Oct-2024 04:08     15K
py3-pockethernet-pyc-0.7.0-r4.apk                  26-Oct-2024 04:08     25K
py3-poetry-dynamic-versioning-1.9.1-r0.apk         26-Jul-2025 08:41     21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk     26-Jul-2025 08:41     26K
py3-poppler-qt5-21.3.0-r2.apk                      30-Jan-2025 04:44    111K
py3-ppk2-api-0.9.2-r0.apk                          01-Dec-2024 06:08     16K
py3-ppk2-api-pyc-0.9.2-r0.apk                      01-Dec-2024 06:08     17K
py3-pprintpp-0.4.0-r1.apk                          26-Oct-2024 04:08     14K
py3-pprintpp-pyc-0.4.0-r1.apk                      26-Oct-2024 04:08     16K
py3-prctl-1.8.1-r0.apk                             20-Oct-2025 22:57     12K
py3-prctl-pyc-1.8.1-r0.apk                         20-Oct-2025 22:57    7409
py3-prefixed-0.9.0-r0.apk                          29-Aug-2025 01:22     14K
py3-prefixed-pyc-0.9.0-r0.apk                      29-Aug-2025 01:22    8816
py3-print-color-0.4.6-r0.apk                       26-Oct-2024 04:08    9072
py3-print-color-doc-0.4.6-r0.apk                   26-Oct-2024 04:08    2682
py3-print-color-pyc-0.4.6-r0.apk                   26-Oct-2024 04:08    5087
py3-proglog-0.1.10-r2.apk                          26-Oct-2024 04:08    7558
py3-proglog-pyc-0.1.10-r2.apk                      26-Oct-2024 04:08     10K
py3-protego-0.3.1-r0.apk                           01-Dec-2024 05:18    9562
py3-protego-pyc-0.3.1-r0.apk                       01-Dec-2024 05:18     12K
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 20:36     17K
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 20:36     28K
py3-pure_protobuf-3.1.2-r0.apk                     26-Oct-2024 04:08     21K
py3-pure_protobuf-pyc-3.1.2-r0.apk                 26-Oct-2024 04:08     38K
py3-py-radix-0.10.0-r10.apk                        26-Oct-2024 04:08     17K
py3-py-radix-pyc-0.10.0-r10.apk                    26-Oct-2024 04:08     11K
py3-pyatem-0.5.0-r4.apk                            26-Oct-2024 04:08     52K
py3-pyatem-pyc-0.5.0-r4.apk                        26-Oct-2024 04:08     92K
py3-pyautogui-0.9.53-r5.apk                        26-Oct-2024 04:08     35K
py3-pyautogui-pyc-0.9.53-r5.apk                    26-Oct-2024 04:08     45K
py3-pybars3-0.9.7-r6.apk                           26-Oct-2024 04:08     15K
py3-pybars3-pyc-0.9.7-r6.apk                       26-Oct-2024 04:08     17K
py3-pycaption-2.2.15-r0.apk                        26-Oct-2024 04:08    360K
py3-pycolorterm-0.2.1-r6.apk                       26-Oct-2024 04:08    5590
py3-pycolorterm-pyc-0.2.1-r6.apk                   26-Oct-2024 04:08    3817
py3-pycosat-0.6.6-r2.apk                           26-Oct-2024 04:08     42K
py3-pydes-2.0.1-r5.apk                             26-Oct-2024 04:08     11K
py3-pydes-doc-2.0.1-r5.apk                         26-Oct-2024 04:08    3729
py3-pydes-pyc-2.0.1-r5.apk                         26-Oct-2024 04:08     13K
py3-pygelbooru-1.0.0-r0.apk                        30-Jul-2025 13:56     20K
py3-pygelbooru-pyc-1.0.0-r0.apk                    30-Jul-2025 13:56     11K
py3-pyglet-2.1.5-r1.apk                            27-Aug-2025 23:46    890K
py3-pyglet-pyc-2.1.5-r1.apk                        27-Aug-2025 23:46      2M
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 18:41      1M
py3-pygpgme-0.3.1-r9.apk                           26-Oct-2024 04:08     34K
py3-pygpgme-pyc-0.3.1-r9.apk                       26-Oct-2024 04:08    5186
py3-pygtail-0.14.0-r3.apk                          26-Oct-2024 04:08     15K
py3-pygtail-pyc-0.14.0-r3.apk                      26-Oct-2024 04:08     10K
py3-pyinstaller-6.6.0-r0.apk                       26-Oct-2024 04:08      2M
py3-pyinstaller-pyc-6.6.0-r0.apk                   26-Oct-2024 04:08    502K
py3-pyinstrument-5.1.1-r0.apk                      13-Aug-2025 14:17    109K
py3-pyinstrument-pyc-5.1.1-r0.apk                  13-Aug-2025 14:17    101K
py3-pyisbn-1.3.1-r3.apk                            26-Oct-2024 04:08     20K
py3-pyisbn-pyc-1.3.1-r3.apk                        26-Oct-2024 04:08    9634
py3-pylru-1.2.1-r1.apk                             26-Oct-2024 04:08     17K
py3-pylru-pyc-1.2.1-r1.apk                         26-Oct-2024 04:08    9160
py3-pymaging-0.0.20130908-r10.apk                  26-Oct-2024 04:08     18K
py3-pymaging-png-0.0.20130727-r10.apk              26-Oct-2024 04:08     35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk          26-Oct-2024 04:08     52K
py3-pymaging-pyc-0.0.20130908-r10.apk              26-Oct-2024 04:08     32K
py3-pymata-2.20-r4.apk                             26-Oct-2024 04:08     22K
py3-pymata-pyc-2.20-r4.apk                         26-Oct-2024 04:08     29K
py3-pymata4-1.15-r4.apk                            26-Oct-2024 04:08     23K
py3-pymata4-pyc-1.15-r4.apk                        26-Oct-2024 04:08     31K
py3-pymeta3-0.5.1-r6.apk                           26-Oct-2024 04:08     17K
py3-pymeta3-pyc-0.5.1-r6.apk                       26-Oct-2024 04:08     32K
py3-pymsgbox-1.0.9-r5.apk                          26-Oct-2024 04:08    9381
py3-pymsgbox-pyc-1.0.9-r5.apk                      26-Oct-2024 04:08     10K
py3-pymsteams-0.2.5-r0.apk                         22-Feb-2025 21:17     12K
py3-pymsteams-pyc-0.2.5-r0.apk                     22-Feb-2025 21:17    6694
py3-pymupdf-1.26.4-r2.apk                          20-Dec-2025 04:58    317K
py3-pymupdf-pyc-1.26.4-r2.apk                      20-Dec-2025 04:58    500K
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 13:42    224K
py3-pyparted-3.13.0-r1.apk                         26-Oct-2024 04:08     74K
py3-pyparted-pyc-3.13.0-r1.apk                     26-Oct-2024 04:08     42K
py3-pypubsub-4.0.3-r0.apk                          26-Oct-2024 04:08     52K
py3-pypubsub-doc-4.0.3-r0.apk                      26-Oct-2024 04:08    2189
py3-pypubsub-pyc-4.0.3-r0.apk                      26-Oct-2024 04:08     90K
py3-pyqrcode-1.2.1-r0.apk                          26-Oct-2024 04:08     37K
py3-pyqrcode-doc-1.2.1-r0.apk                      26-Oct-2024 04:08    4404
py3-pyqrcode-pyc-1.2.1-r0.apk                      26-Oct-2024 04:08     47K
py3-pyrebase-3.0.27-r5.apk                         26-Oct-2024 04:08    9856
py3-pyrebase-pyc-3.0.27-r5.apk                     26-Oct-2024 04:08     18K
py3-pyroma-4.2-r0.apk                              26-Oct-2024 04:08     22K
py3-pyroma-pyc-4.2-r0.apk                          26-Oct-2024 04:08     26K
py3-pyscreeze-0.1.29-r3.apk                        26-Oct-2024 04:08     14K
py3-pyscreeze-pyc-0.1.29-r3.apk                    26-Oct-2024 04:08     14K
py3-pysimplesoap-1.16.2-r7.apk                     26-Oct-2024 04:08     44K
py3-pysimplesoap-pyc-1.16.2-r7.apk                 26-Oct-2024 04:08     78K
py3-pysonic-1.0.3-r0.apk                           17-Apr-2025 07:35     35K
py3-pysonic-pyc-1.0.3-r0.apk                       17-Apr-2025 07:35     32K
py3-pyspinel-1.0.3-r1.apk                          26-Oct-2024 04:08     56K
py3-pyspinel-pyc-1.0.3-r1.apk                      26-Oct-2024 04:08     63K
py3-pysrt-1.1.2-r5.apk                             15-May-2025 03:17     25K
py3-pysrt-pyc-1.1.2-r5.apk                         15-May-2025 03:17     23K
py3-pystache-0.6.5-r1.apk                          26-Oct-2024 04:08     68K
py3-pystache-pyc-0.6.5-r1.apk                      26-Oct-2024 04:08     97K
py3-pysubs2-1.8.0-r0.apk                           26-Dec-2024 07:09     36K
py3-pysubs2-pyc-1.8.0-r0.apk                       26-Dec-2024 07:09     68K
py3-pytaglib-3.0.0-r0.apk                          27-Jan-2025 04:29     37K
py3-pytaglib-pyc-3.0.0-r0.apk                      27-Jan-2025 04:29    2941
py3-pytap2-2.3.0-r0.apk                            26-Oct-2024 04:08    7136
py3-pytap2-doc-2.3.0-r0.apk                        26-Oct-2024 04:08    2861
py3-pytap2-pyc-2.3.0-r0.apk                        26-Oct-2024 04:08    6130
py3-pyte-0.8.2-r3.apk                              13-May-2025 06:20     30K
py3-pyte-pyc-0.8.2-r3.apk                          13-May-2025 06:20     39K
py3-pytest-datadir-1.8.0-r0.apk                    09-Aug-2025 01:10    7256
py3-pytest-datadir-pyc-1.8.0-r0.apk                09-Aug-2025 01:10    5524
py3-pytest-expect-1.1.0-r10.apk                    26-Oct-2024 04:08    6038
py3-pytest-expect-pyc-1.1.0-r10.apk                26-Oct-2024 04:08    7125
py3-pytest-home-0.6.0-r0.apk                       26-Oct-2024 04:08    4643
py3-pytest-home-pyc-0.6.0-r0.apk                   26-Oct-2024 04:08    2866
py3-pytest-html-4.1.1-r1.apk                       26-Oct-2024 04:08     22K
py3-pytest-html-pyc-4.1.1-r1.apk                   26-Oct-2024 04:08     22K
py3-pytest-metadata-3.1.1-r0.apk                   26-Oct-2024 04:08     10K
py3-pytest-metadata-pyc-3.1.1-r0.apk               26-Oct-2024 04:08    8086
py3-pytest-regtest-2.3.5-r0.apk                    12-Oct-2025 22:32     16K
py3-pytest-regtest-pyc-2.3.5-r0.apk                12-Oct-2025 22:32     30K
py3-pytest-subprocess-1.5.2-r0.apk                 26-Oct-2024 04:08     20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk             26-Oct-2024 04:08     25K
py3-pytest-textual-snapshot-1.1.0-r0.apk           09-Aug-2025 01:10    9398
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk       09-Aug-2025 01:10     11K
py3-python-archive-0.2-r7.apk                      26-Oct-2024 04:08    7574
py3-python-archive-pyc-0.2-r7.apk                  26-Oct-2024 04:08    9576
py3-python-iptables-1.2.0-r1.apk                   13-Dec-2025 00:43     38K
py3-python-iptables-pyc-1.2.0-r1.apk               13-Dec-2025 00:43     68K
py3-python-jwt-4.1.0-r2.apk                        16-May-2025 06:22    8260
py3-python-jwt-pyc-4.1.0-r2.apk                    16-May-2025 06:22    6626
py3-python-logstash-0.4.8-r4.apk                   26-Oct-2024 04:08    8810
py3-python-logstash-doc-0.4.8-r4.apk               26-Oct-2024 04:08    2311
py3-python-logstash-pyc-0.4.8-r4.apk               26-Oct-2024 04:08    8619
py3-python-stdnum-1.20-r0.apk                      26-Oct-2024 04:08    806K
py3-python-stdnum-pyc-1.20-r0.apk                  26-Oct-2024 04:08    293K
py3-pyvcd-0.4.1-r0.apk                             12-Nov-2024 06:46     23K
py3-pyvcd-pyc-0.4.1-r0.apk                         12-Nov-2024 06:46     40K
py3-pyzor-1.1.2-r0.apk                             14-Aug-2025 15:26     40K
py3-pyzor-pyc-1.1.2-r0.apk                         14-Aug-2025 15:26     54K
py3-qasync-0.19.0-r2.apk                           26-Oct-2024 04:08     37K
py3-qgis-3.44.5-r0.apk                             04-Dec-2025 22:54     21M
py3-qpageview-0.6.2-r1.apk                         26-Oct-2024 04:08     98K
py3-qpageview-doc-0.6.2-r1.apk                     26-Oct-2024 04:08     56K
py3-qpageview-pyc-0.6.2-r1.apk                     26-Oct-2024 04:08    180K
py3-qt.py-1.3.10-r1.apk                            26-Oct-2024 04:08     33K
py3-qt.py-pyc-1.3.10-r1.apk                        26-Oct-2024 04:08     25K
py3-quebra-frases-0.3.7-r1.apk                     26-Oct-2024 04:08    9023
py3-quebra-frases-pyc-0.3.7-r1.apk                 26-Oct-2024 04:08    8002
py3-queuelib-1.8.0-r0.apk                          02-Sep-2025 03:13     12K
py3-queuelib-pyc-1.8.0-r0.apk                      02-Sep-2025 03:13     24K
py3-rabbit-1.1.0-r8.apk                            26-Oct-2024 04:08     11K
py3-rabbit-pyc-1.1.0-r8.apk                        26-Oct-2024 04:08     15K
py3-radon-6.0.1-r2.apk                             26-Oct-2024 04:08     32K
py3-radon-doc-6.0.1-r2.apk                         26-Oct-2024 04:08    5263
py3-radon-pyc-6.0.1-r2.apk                         26-Oct-2024 04:08     50K
py3-recommonmark-0.7.1-r4.apk                      26-Oct-2024 04:08     12K
py3-recommonmark-pyc-0.7.1-r4.apk                  26-Oct-2024 04:08     18K
py3-recurring-ical-events-3.8.0-r0.apk             15-Jun-2025 13:00     39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk         15-Jun-2025 13:00     50K
py3-redmine-2.5.0-r0.apk                           26-Oct-2024 04:08     37K
py3-redmine-pyc-2.5.0-r0.apk                       26-Oct-2024 04:08     54K
py3-remind-0.19.2-r0.apk                           23-Apr-2025 06:24     24K
py3-remind-pyc-0.19.2-r0.apk                       23-Apr-2025 06:24     23K
py3-requests-cache-1.2.1-r1.apk                    20-Nov-2024 11:43     50K
py3-requests-cache-pyc-1.2.1-r1.apk                20-Nov-2024 11:43     94K
py3-requests-kerberos-0.15.0-r0.apk                02-Dec-2024 02:24     12K
py3-requests-kerberos-pyc-0.15.0-r0.apk            02-Dec-2024 02:24     11K
py3-requests-wsgi-adapter-0.4.1-r1.apk             26-Oct-2024 04:08    5646
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         26-Oct-2024 04:08    6758
py3-rfc-bibtex-0.3.2-r7.apk                        26-Oct-2024 04:08     13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    26-Oct-2024 04:08     12K
py3-rich-click-1.7.3-r1.apk                        26-Oct-2024 04:08     31K
py3-rich-click-pyc-1.7.3-r1.apk                    26-Oct-2024 04:08     40K
py3-riotctrl-0.5.0-r4.apk                          26-Oct-2024 04:08     13K
py3-riotctrl-pyc-0.5.0-r4.apk                      26-Oct-2024 04:08     11K
py3-rns-1.0.0-r0.apk                               24-Sep-2025 05:48    344K
py3-rns-pyc-1.0.0-r0.apk                           24-Sep-2025 05:48    705K
py3-rofi-1.0.1-r1.apk                              09-Aug-2025 09:22     12K
py3-rofi-pyc-1.0.1-r1.apk                          09-Aug-2025 09:22     12K
py3-rosdistro-0.9.0-r3.apk                         26-Oct-2024 04:08     47K
py3-rosdistro-pyc-0.9.0-r3.apk                     26-Oct-2024 04:08     91K
py3-rospkg-1.2.9-r5.apk                            26-Oct-2024 04:08     29K
py3-rospkg-pyc-1.2.9-r5.apk                        26-Oct-2024 04:08     54K
py3-rpio-0.10.1-r8.apk                             26-Oct-2024 04:08     35K
py3-rpio-pyc-0.10.1-r8.apk                         26-Oct-2024 04:08     16K
py3-rst-0.1-r9.apk                                 26-Oct-2024 04:08    5698
py3-rst-pyc-0.1-r9.apk                             26-Oct-2024 04:08    6257
py3-rst.linker-2.6.0-r0.apk                        26-Oct-2024 04:08    6238
py3-rst.linker-pyc-2.6.0-r0.apk                    26-Oct-2024 04:08    6803
py3-rst2ansi-0.1.5-r0.apk                          26-Oct-2024 04:08     12K
py3-rst2ansi-doc-0.1.5-r0.apk                      26-Oct-2024 04:08    2290
py3-rst2ansi-pyc-0.1.5-r0.apk                      26-Oct-2024 04:08     24K
py3-rst2pdf-0.102-r0.apk                           11-Jun-2025 04:48    155K
py3-rst2pdf-pyc-0.102-r0.apk                       11-Jun-2025 04:48    206K
py3-rtree-1.4.1-r0.apk                             14-Aug-2025 19:10     26K
py3-rtree-pyc-1.4.1-r0.apk                         14-Aug-2025 19:10     48K
py3-schema-0.7.7-r0.apk                            08-Jul-2025 01:07     19K
py3-schema-pyc-0.7.7-r0.apk                        08-Jul-2025 01:07     21K
py3-scour-0.38.2-r1.apk                            26-Oct-2024 04:08     56K
py3-scour-pyc-0.38.2-r1.apk                        26-Oct-2024 04:08     74K
py3-scrapy-2.11.1-r1.apk                           26-Oct-2024 04:08    240K
py3-scrapy-pyc-2.11.1-r1.apk                       26-Oct-2024 04:08    482K
py3-scs-3.2.3-r4.apk                               26-Oct-2024 04:08     94K
py3-scs-pyc-3.2.3-r4.apk                           26-Oct-2024 04:08    5041
py3-senf-1.5.0-r0.apk                              27-Jun-2025 11:58     20K
py3-senf-pyc-1.5.0-r0.apk                          27-Jun-2025 11:58     33K
py3-seqdiag-3.0.0-r5.apk                           26-Oct-2024 04:08      2M
py3-seqdiag-pyc-3.0.0-r5.apk                       26-Oct-2024 04:08     42K
py3-setuptools-lint-0.6.0-r9.apk                   26-Oct-2024 04:08    5554
py3-setuptools-lint-pyc-0.6.0-r9.apk               26-Oct-2024 04:08    6148
py3-sh-2.1.0-r0.apk                                02-Nov-2024 00:13     38K
py3-sh-pyc-2.1.0-r0.apk                            02-Nov-2024 00:13     55K
py3-shodan-1.31.0-r1.apk                           26-Oct-2024 04:08     44K
py3-shodan-doc-1.31.0-r1.apk                       26-Oct-2024 04:08    7372
py3-shodan-pyc-1.31.0-r1.apk                       26-Oct-2024 04:08     79K
py3-simber-0.2.6-r5.apk                            15-May-2025 03:17     12K
py3-simber-pyc-0.2.6-r5.apk                        15-May-2025 03:17     16K
py3-simple-websocket-1.1.0-r0.apk                  09-Sep-2025 22:17     11K
py3-simple-websocket-doc-1.1.0-r0.apk              09-Sep-2025 22:17    2346
py3-simple-websocket-pyc-1.1.0-r0.apk              09-Sep-2025 22:17     23K
py3-simpleeval-1.0.3-r0.apk                        25-Jun-2025 04:38     16K
py3-simpleeval-pyc-1.0.3-r0.apk                    25-Jun-2025 04:38     16K
py3-simplematch-1.4-r1.apk                         26-Oct-2024 04:08    8190
py3-simplematch-pyc-1.4-r1.apk                     26-Oct-2024 04:08    5940
py3-simplesat-0.8.2-r0.apk                         26-Oct-2024 04:08    214K
py3-simplesat-pyc-0.8.2-r0.apk                     26-Oct-2024 04:08    157K
py3-simplesoapy-1.5.1-r7.apk                       26-Oct-2024 04:08    8053
py3-simplesoapy-pyc-1.5.1-r7.apk                   26-Oct-2024 04:08     12K
py3-simplespectral-1.0.0-r5.apk                    26-Oct-2024 04:08    7683
py3-simplespectral-pyc-1.0.0-r5.apk                26-Oct-2024 04:08    8272
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 21:23    193K
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 21:23    2013
py3-slixmpp-1.8.5-r2.apk                           26-Oct-2024 04:08    381K
py3-slixmpp-doc-1.8.5-r2.apk                       26-Oct-2024 04:08    5947
py3-slixmpp-pyc-1.8.5-r2.apk                       26-Oct-2024 04:08    729K
py3-snapshottest-0.6.0-r5.apk                      26-Oct-2024 04:08     15K
py3-snapshottest-pyc-0.6.0-r5.apk                  26-Oct-2024 04:08     26K
py3-soappy-0.52.30-r0.apk                          03-Dec-2024 07:54     47K
py3-soappy-pyc-0.52.30-r0.apk                      03-Dec-2024 07:54     95K
py3-soapy_power-1.6.1-r5.apk                       26-Oct-2024 04:08     17K
py3-soapy_power-pyc-1.6.1-r5.apk                   26-Oct-2024 04:08     27K
py3-socketio-5.13.0-r0.apk                         09-Sep-2025 22:17     62K
py3-socketio-doc-5.13.0-r0.apk                     09-Sep-2025 22:17     36K
py3-socketio-pyc-5.13.0-r0.apk                     09-Sep-2025 22:17    127K
py3-sortedcollections-2.1.0-r5.apk                 26-Oct-2024 04:08     11K
py3-sortedcollections-pyc-2.1.0-r5.apk             26-Oct-2024 04:08     14K
py3-spake2-0.9-r0.apk                              26-Oct-2024 04:08     30K
py3-spake2-pyc-0.9-r0.apk                          26-Oct-2024 04:08     44K
py3-sphinx-argparse-0.5.2-r0.apk                   26-Oct-2024 04:08     14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk               26-Oct-2024 04:08     22K
py3-sphinx-autoapi-3.6.1-r0.apk                    11-Oct-2025 19:59     31K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk                11-Oct-2025 19:59     59K
py3-sphinx-theme-better-0.1.5-r7.apk               26-Oct-2024 04:08     11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           26-Oct-2024 04:08    2029
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            26-Oct-2024 04:08      1M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        26-Oct-2024 04:08    2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   26-Oct-2024 04:08     65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               26-Oct-2024 04:08    1880
py3-sphinx-theme-cloud-1.10.0-r2.apk               26-Oct-2024 04:08     81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           26-Oct-2024 04:08     43K
py3-sphinx-theme-epfl-1.1.1-r9.apk                 26-Oct-2024 04:08     30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             26-Oct-2024 04:08    2531
py3-sphinx-theme-guzzle-0.7.11-r7.apk              26-Oct-2024 04:08      2M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          26-Oct-2024 04:08    5642
py3-sphinx-theme-readable-1.3.0-r9.apk             26-Oct-2024 04:08    9030
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         26-Oct-2024 04:08    2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             26-Oct-2024 04:08    7814
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         26-Oct-2024 04:08    9500
py3-sphinxcontrib-adadomain-0.2-r9.apk             26-Oct-2024 04:08    9224
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         26-Oct-2024 04:08     12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk             26-Oct-2024 04:08    5810
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         26-Oct-2024 04:08    4184
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           26-Oct-2024 04:08    7725
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       26-Oct-2024 04:08    9371
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               26-Oct-2024 04:08    5869
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           26-Oct-2024 04:08    4351
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           26-Oct-2024 04:08     18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       26-Oct-2024 04:08     34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             26-Oct-2024 04:08    9336
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         26-Oct-2024 04:08    3564
py3-sphinxcontrib-gist-0.1.0-r9.apk                26-Oct-2024 04:08    4008
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            26-Oct-2024 04:08    3412
py3-sphinxcontrib-git-11.0.0-r7.apk                26-Oct-2024 04:08     17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            26-Oct-2024 04:08    6783
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            26-Oct-2024 04:08    8054
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        26-Oct-2024 04:08    7805
py3-sphinxcontrib-htsql-0.1.5-r8.apk               26-Oct-2024 04:08     11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           26-Oct-2024 04:08     15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          26-Oct-2024 04:08     18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     26-Oct-2024 04:08    4440
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      26-Oct-2024 04:08     34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 26-Oct-2024 04:08     21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 26-Oct-2024 04:08     44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         26-Oct-2024 04:08     11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     26-Oct-2024 04:08     15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk         26-Oct-2024 04:08     11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     26-Oct-2024 04:08     12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk           26-Oct-2024 04:08    8115
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       26-Oct-2024 04:08     11K
py3-sphinxcontrib-manpage-0.6-r8.apk               26-Oct-2024 04:08    4237
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           26-Oct-2024 04:08    3225
py3-sphinxcontrib-mermaid-1.0.0-r0.apk             11-May-2025 20:57     10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk         11-May-2025 20:57     16K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              26-Oct-2024 04:08    8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          26-Oct-2024 04:08     11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk          15-Jul-2025 19:28     11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk      15-Jul-2025 19:28     17K
py3-sphinxcontrib-programoutput-0.17-r5.apk        26-Oct-2024 04:08     16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    26-Oct-2024 04:08     24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk           26-Oct-2024 04:08     11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       26-Oct-2024 04:08     20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             26-Oct-2024 04:08    7829
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         26-Oct-2024 04:08    9523
py3-sphinxcontrib-slide-1.0.0-r4.apk               13-May-2025 06:20    5000
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk           13-May-2025 06:20    5699
py3-sphinxcontrib-spelling-8.0.1-r0.apk            15-Jul-2025 19:28     13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk        15-Jul-2025 19:28     19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            26-Oct-2024 04:08    7520
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        26-Oct-2024 04:08    5046
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           26-Oct-2024 04:08    6252
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       26-Oct-2024 04:08    5787
py3-spidev-3.6-r1.apk                              26-Oct-2024 04:08     13K
py3-spin-0.8-r0.apk                                26-Oct-2024 04:08     19K
py3-spin-pyc-0.8-r0.apk                            26-Oct-2024 04:08     24K
py3-spinners-0.0.24-r5.apk                         26-Oct-2024 04:08    6234
py3-spinners-pyc-0.0.24-r5.apk                     26-Oct-2024 04:08    6400
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 16:51    118K
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 16:51    219K
py3-spotipy-2.24.0-r3.apk                          15-Oct-2025 00:04     30K
py3-spotipy-pyc-2.24.0-r3.apk                      15-Oct-2025 00:04     49K
py3-sqlmodel-0.0.22-r1.apk                         07-Dec-2024 08:03     26K
py3-sqlmodel-pyc-0.0.22-r1.apk                     07-Dec-2024 08:03     41K
py3-sssd-2.11.1-r2.apk                             21-Nov-2025 07:09     55K
py3-sssd-pyc-2.11.1-r2.apk                         21-Nov-2025 07:09     49K
py3-sstash-0.17-r9.apk                             26-Oct-2024 04:08    7912
py3-sstash-pyc-0.17-r9.apk                         26-Oct-2024 04:08     10K
py3-svglib-1.5.1-r0.apk                            11-Jun-2025 04:48     30K
py3-svglib-doc-1.5.1-r0.apk                        11-Jun-2025 04:48    2131
py3-svglib-pyc-1.5.1-r0.apk                        11-Jun-2025 04:48     42K
py3-svgpath-7.0-r0.apk                             08-Jul-2025 15:16     19K
py3-svgpath-pyc-7.0-r0.apk                         08-Jul-2025 15:16     24K
py3-swagger-ui-bundle-1.1.0-r1.apk                 26-Oct-2024 04:08      2M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             26-Oct-2024 04:08    2157
py3-synapse-auto-accept-invite-1.2.0-r1.apk        09-Aug-2025 09:22    9997
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk    09-Aug-2025 09:22    5756
py3-tailer-0.4.1-r7.apk                            26-Oct-2024 04:08    7035
py3-tailer-pyc-0.4.1-r7.apk                        26-Oct-2024 04:08    6794
py3-tasklib-2.5.1-r2.apk                           26-Oct-2024 04:08     23K
py3-tasklib-pyc-2.5.1-r2.apk                       26-Oct-2024 04:08     52K
py3-telegram-bot-22.5-r0.apk                       20-Nov-2025 12:26    497K
py3-telegram-bot-pyc-22.5-r0.apk                   20-Nov-2025 12:26    802K
py3-telegram-text-0.2.0-r1.apk                     26-Oct-2024 04:08    9409
py3-telegram-text-pyc-0.2.0-r1.apk                 26-Oct-2024 04:08     13K
py3-telemetrix-1.20-r3.apk                         26-Oct-2024 04:08     21K
py3-telemetrix-pyc-1.20-r3.apk                     26-Oct-2024 04:08     31K
py3-teletype-1.3.4-r3.apk                          26-Oct-2024 04:08     15K
py3-teletype-pyc-1.3.4-r3.apk                      26-Oct-2024 04:08     21K
py3-testresources-2.0.1-r6.apk                     26-Oct-2024 04:08     17K
py3-testresources-pyc-2.0.1-r6.apk                 26-Oct-2024 04:08     16K
py3-textual-5.3.0-r0.apk                           27-Oct-2025 17:30    612K
py3-textual-pyc-5.3.0-r0.apk                       27-Oct-2025 17:30      1M
py3-thefuzz-0.22.1-r1.apk                          26-Oct-2024 04:08     10K
py3-thefuzz-pyc-0.22.1-r1.apk                      26-Oct-2024 04:08    9167
py3-ticket-auth-0.1.4-r9.apk                       26-Oct-2024 04:08    6097
py3-ticket-auth-pyc-0.1.4-r9.apk                   26-Oct-2024 04:08    6531
py3-tidalapi-0.8.4-r0.apk                          15-Jul-2025 19:28     50K
py3-tidalapi-pyc-0.8.4-r0.apk                      15-Jul-2025 19:28     91K
py3-timeago-1.0.16-r0.apk                          26-Oct-2024 04:08     24K
py3-timeago-doc-1.0.16-r0.apk                      26-Oct-2024 04:08    2916
py3-timeago-pyc-1.0.16-r0.apk                      26-Oct-2024 04:08     28K
py3-tls_parser-2.0.2-r0.apk                        15-Jul-2025 19:28     10K
py3-tls_parser-pyc-2.0.2-r0.apk                    15-Jul-2025 19:28     17K
py3-tlslite-ng-0.7.6-r8.apk                        26-Oct-2024 04:08    179K
py3-tlslite-ng-pyc-0.7.6-r8.apk                    26-Oct-2024 04:08    275K
py3-tokenizers-0.21.2-r0.apk                       02-Jul-2025 03:10      2M
py3-tokenizers-pyc-0.21.2-r0.apk                   02-Jul-2025 03:10     29K
py3-tpm2-pytss-2.3.0-r1.apk                        26-Oct-2024 04:08    280K
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    26-Oct-2024 04:08    236K
py3-trakit-0.2.5-r0.apk                            24-Nov-2025 09:03     19K
py3-trakit-pyc-0.2.5-r0.apk                        24-Nov-2025 09:03     17K
py3-transitions-0.9.2-r0.apk                       26-Oct-2024 04:08     98K
py3-transitions-pyc-0.9.2-r0.apk                   26-Oct-2024 04:08    129K
py3-translationstring-1.4-r4.apk                   26-Oct-2024 04:08    9374
py3-translationstring-pyc-1.4-r4.apk               26-Oct-2024 04:08    8979
py3-trivup-0.12.2-r2.apk                           26-Oct-2024 04:08     34K
py3-trivup-pyc-0.12.2-r2.apk                       26-Oct-2024 04:08     55K
py3-truststore-0.10.1-r0.apk                       10-Feb-2025 12:38     17K
py3-truststore-pyc-0.10.1-r0.apk                   10-Feb-2025 12:38     26K
py3-twiggy-0.5.1-r4.apk                            26-Oct-2024 04:08     24K
py3-twiggy-pyc-0.5.1-r4.apk                        26-Oct-2024 04:08     39K
py3-typing_inspect-0.9.0-r2.apk                    26-Oct-2024 04:08     10K
py3-typing_inspect-pyc-0.9.0-r2.apk                26-Oct-2024 04:08     14K
py3-u-msgpack-2.8.0-r2.apk                         26-Oct-2024 04:08     11K
py3-u-msgpack-pyc-2.8.0-r2.apk                     26-Oct-2024 04:08     16K
py3-uacme-desec-1.2.1-r0.apk                       26-Oct-2024 04:08    5839
py3-uacme-desec-doc-1.2.1-r0.apk                   26-Oct-2024 04:08    2245
py3-uacme-desec-pyc-1.2.1-r0.apk                   26-Oct-2024 04:08    6933
py3-uc-micro-py-1.0.3-r0.apk                       27-Oct-2025 17:30    6697
py3-uc-micro-py-pyc-1.0.3-r0.apk                   27-Oct-2025 17:30    4703
py3-unearth-0.18.0-r0.apk                          14-Oct-2025 23:54     41K
py3-unearth-pyc-0.18.0-r0.apk                      14-Oct-2025 23:54     82K
py3-unicorn-2.1.4-r0.apk                           14-Oct-2025 23:03     51K
py3-unicorn-hat-2.1.2-r6.apk                       06-Nov-2024 18:41     16K
py3-unicorn-pyc-2.1.4-r0.apk                       14-Oct-2025 23:03     92K
py3-unicrypto-0.0.12-r0.apk                        03-Nov-2025 15:49     59K
py3-unicrypto-pyc-0.0.12-r0.apk                    03-Nov-2025 15:49     92K
py3-unidns-0.0.4-r0.apk                            03-Nov-2025 15:49     14K
py3-unidns-examples-0.0.4-r0.apk                   03-Nov-2025 15:49    2668
py3-unidns-pyc-0.0.4-r0.apk                        03-Nov-2025 15:49     25K
py3-unoconv-0.9.0-r4.apk                           13-May-2025 06:20     26K
py3-uptime-3.0.1-r9.apk                            26-Oct-2024 04:08    9863
py3-uptime-pyc-3.0.1-r9.apk                        26-Oct-2024 04:08    8908
py3-urlobject-2.4.3-r9.apk                         26-Oct-2024 04:08     15K
py3-urlobject-pyc-2.4.3-r9.apk                     26-Oct-2024 04:08     25K
py3-us-3.2.0-r0.apk                                26-Oct-2024 04:08     14K
py3-us-pyc-3.2.0-r0.apk                            26-Oct-2024 04:08     15K
py3-utc-0.0.3-r9.apk                               26-Oct-2024 04:08    3555
py3-utc-pyc-0.0.3-r9.apk                           26-Oct-2024 04:08    2829
py3-vatnumber-1.2-r9.apk                           26-Oct-2024 04:08     19K
py3-vatnumber-pyc-1.2-r9.apk                       26-Oct-2024 04:08    8828
py3-vdf-3.4-r2.apk                                 17-Oct-2025 07:04     11K
py3-vdf-pyc-3.4-r2.apk                             17-Oct-2025 07:04     17K
py3-venusian-3.1.1-r0.apk                          08-Dec-2024 06:14     14K
py3-venusian-pyc-3.1.1-r0.apk                      08-Dec-2024 06:14     13K
py3-virtualenvwrapper-6.1.1-r1.apk                 18-Dec-2025 20:02     22K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk             18-Dec-2025 20:02     12K
py3-visitor-0.1.3-r7.apk                           26-Oct-2024 04:08    4734
py3-visitor-pyc-0.1.3-r7.apk                       26-Oct-2024 04:08    2685
py3-ward-0.67.0_beta0-r2.apk                       26-Oct-2024 04:08     41K
py3-ward-pyc-0.67.0_beta0-r2.apk                   26-Oct-2024 04:08     80K
py3-wbdata-1.0.0-r1.apk                            26-Oct-2024 04:08     18K
py3-wbdata-pyc-1.0.0-r1.apk                        26-Oct-2024 04:08     20K
py3-wg-netns-2.3.1-r1.apk                          26-Oct-2024 04:08    7751
py3-wg-netns-pyc-2.3.1-r1.apk                      26-Oct-2024 04:08     13K
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 15:49     22K
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 15:49     12K
py3-wifi-0.3.8-r7.apk                              26-Oct-2024 04:08     13K
py3-wifi-pyc-0.3.8-r7.apk                          26-Oct-2024 04:08     14K
py3-wikipedia-1.4.0-r0.apk                         14-Sep-2025 15:32     12K
py3-wikipedia-doc-1.4.0-r0.apk                     14-Sep-2025 15:32    4244
py3-wikipedia-pyc-1.4.0-r0.apk                     14-Sep-2025 15:32     16K
py3-winacl-0.1.9-r1.apk                            29-May-2025 20:58     83K
py3-winacl-pyc-0.1.9-r1.apk                        29-May-2025 20:58    131K
py3-wsgiprox-1.5.2-r1.apk                          26-Oct-2024 04:08     17K
py3-wsgiprox-pyc-1.5.2-r1.apk                      26-Oct-2024 04:08     28K
py3-wstools-0.4.10-r7.apk                          26-Oct-2024 04:08     53K
py3-wstools-pyc-0.4.10-r7.apk                      26-Oct-2024 04:08    111K
py3-wtf-peewee-3.0.6-r0.apk                        26-Oct-2024 04:08     13K
py3-wtf-peewee-pyc-3.0.6-r0.apk                    26-Oct-2024 04:08     25K
py3-x-wr-timezone-2.0.1-r0.apk                     10-Feb-2025 00:13     12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 10-Feb-2025 00:13    7267
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 20:04     34K
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 22:31    312K
py3-xsdata-25.7-r0.apk                             08-Jul-2025 05:47    190K
py3-xsdata-pyc-25.7-r0.apk                         08-Jul-2025 05:47    393K
py3-yapsy-1.12.2-r7.apk                            26-Oct-2024 04:08     32K
py3-yapsy-pyc-1.12.2-r7.apk                        26-Oct-2024 04:08     47K
py3-yara-4.5.4-r0.apk                              14-Aug-2025 00:32     17K
py3-yosys-0.57-r0.apk                              25-Oct-2025 06:21    557K
py3-youtube-search-1.6.6-r5.apk                    15-May-2025 03:17     78K
py3-youtube-search-pyc-1.6.6-r5.apk                15-May-2025 03:17     95K
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 18:41     52K
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 18:41     68K
py3-zipfile2-0.0.12-r0.apk                         26-Oct-2024 04:08     45K
py3-zipfile2-pyc-0.0.12-r0.apk                     26-Oct-2024 04:08     29K
py3-zope-configuration-7.0-r0.apk                  02-Dec-2025 09:39     39K
py3-zope-configuration-pyc-7.0-r0.apk              02-Dec-2025 09:39     49K
py3-zope-i18nmessageid-6.1.0-r2.apk                26-Oct-2024 04:08     15K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk            26-Oct-2024 04:08    8177
py3-zope-schema-7.0.1-r3.apk                       26-Oct-2024 04:08     45K
py3-zope-schema-pyc-7.0.1-r3.apk                   26-Oct-2024 04:08     61K
pyinfra-3.3.1-r0.apk                               16-Jul-2025 17:06    192K
pyinfra-pyc-3.3.1-r0.apk                           16-Jul-2025 17:06    361K
pympress-1.8.6-r0.apk                              10-Nov-2025 14:05    180K
pympress-doc-1.8.6-r0.apk                          10-Nov-2025 14:05     75K
pympress-lang-1.8.6-r0.apk                         10-Nov-2025 14:05     59K
pympress-pyc-1.8.6-r0.apk                          10-Nov-2025 14:05    182K
pyonji-0.1.0-r11.apk                               04-Dec-2025 22:54      3M
pypykatz-0.6.11-r1.apk                             29-May-2025 20:58    315K
pypykatz-pyc-0.6.11-r1.apk                         29-May-2025 20:58    721K
pyradio-0.9.3.11-r0.apk                            26-Oct-2024 04:08    871K
pyradio-doc-0.9.3.11-r0.apk                        26-Oct-2024 04:08    113K
pyradio-pyc-0.9.3.11-r0.apk                        26-Oct-2024 04:08    810K
qadwaitadecorations-0.1.7-r1.apk                   08-Oct-2025 19:22     42K
qbittorrent-cli-2.2.0-r5.apk                       04-Dec-2025 22:54      6M
qdjango-0.6.2-r1.apk                               26-Oct-2024 04:08     88K
qdjango-dev-0.6.2-r1.apk                           26-Oct-2024 04:08     14K
qflipper-1.3.3-r1.apk                              26-Oct-2024 04:08    411K
qflipper-gui-1.3.3-r1.apk                          26-Oct-2024 04:08   1023K
qgis-3.44.5-r0.apk                                 04-Dec-2025 22:54     47M
qgis-dev-3.44.5-r0.apk                             04-Dec-2025 22:54      4M
qgis-doc-3.44.5-r0.apk                             04-Dec-2025 22:54    3119
qgis-grass-3.44.5-r0.apk                           04-Dec-2025 22:54      1M
qgis-lang-3.44.5-r0.apk                            04-Dec-2025 22:54     33M
qgis-server-3.44.5-r0.apk                          04-Dec-2025 22:54      2M
qml-box2d-0_git20180406-r0.apk                     26-Oct-2024 04:08    113K
qoi-0.0.0_git20230312-r0.apk                       26-Oct-2024 04:08    1504
qoi-dev-0.0.0_git20230312-r0.apk                   26-Oct-2024 04:08    7001
qoiconv-0.0.0_git20230312-r0.apk                   26-Oct-2024 04:08     25K
qownnotes-25.12.5-r0.apk                           15-Dec-2025 04:46      2M
qownnotes-lang-25.12.5-r0.apk                      15-Dec-2025 04:46      5M
qpdfview-0.5-r2.apk                                30-Jan-2025 04:44    965K
qpdfview-doc-0.5-r2.apk                            30-Jan-2025 04:44    4346
qperf-0.4.11-r2.apk                                13-May-2025 06:20     31K
qperf-doc-0.4.11-r2.apk                            13-May-2025 06:20    5699
qqc2-suru-style-0.20230206-r1.apk                  26-Oct-2024 04:08    170K
qrcodegen-1.8.0-r0.apk                             30-Nov-2025 03:56     11K
qrcodegen-dev-1.8.0-r0.apk                         30-Nov-2025 03:56     16K
qrcodegen-doc-1.8.0-r0.apk                         30-Nov-2025 03:56    3646
qspectrumanalyzer-2.2.0-r5.apk                     26-Oct-2024 04:08     54K
qspectrumanalyzer-pyc-2.2.0-r5.apk                 26-Oct-2024 04:08     62K
qsstv-9.5.8-r2.apk                                 26-Oct-2024 04:08    826K
qstardict-2.0.2-r1.apk                             24-Nov-2024 14:46    430K
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 14:46     11K
qsynth-1.0.3-r0.apk                                25-Nov-2025 20:01    406K
qsynth-doc-1.0.3-r0.apk                            25-Nov-2025 20:01    4482
qt-wayland-shell-helpers-0.1.1-r3.apk              26-Oct-2024 04:08     12K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk          26-Oct-2024 04:08    4034
qt5ct-1.9-r0.apk                                   20-Nov-2025 12:26    209K
qt5ct-dev-1.9-r0.apk                               20-Nov-2025 12:26    1577
qt6-qtgraphs-6.10.1-r0.apk                         11-Dec-2025 02:06   1003K
qt6-qtgraphs-dev-6.10.1-r0.apk                     11-Dec-2025 02:06    118K
qt6ct-0.11-r2.apk                                  04-Dec-2025 22:54    182K
qtile-0.33.0-r0.apk                                19-Sep-2025 23:53    469K
qtile-pyc-0.33.0-r0.apk                            19-Sep-2025 23:53    858K
qtmir-0.7.2_git20250407-r5.apk                     18-Dec-2025 23:17    454K
qtmir-dev-0.7.2_git20250407-r5.apk                 18-Dec-2025 23:17    6799
qtox-1.18.3-r0.apk                                 30-Nov-2025 01:44      5M
qtpass-1.4.0-r0.apk                                26-Oct-2024 04:08    409K
qtpass-doc-1.4.0-r0.apk                            26-Oct-2024 04:08    2169
quakespasm-0.96.3-r0.apk                           26-Oct-2024 04:08    426K
queercat-1.0.0-r0.apk                              26-Oct-2024 04:08    7883
quodlibet-4.7.1-r0.apk                             19-Sep-2025 23:53      1M
quodlibet-bash-completion-4.7.1-r0.apk             19-Sep-2025 23:53    4605
quodlibet-doc-4.7.1-r0.apk                         19-Sep-2025 23:53    8868
quodlibet-lang-4.7.1-r0.apk                        19-Sep-2025 23:53      1M
quodlibet-pyc-4.7.1-r0.apk                         19-Sep-2025 23:53      2M
quodlibet-zsh-completion-4.7.1-r0.apk              19-Sep-2025 23:53    2776
radarr-6.0.4.10291-r0.apk                          18-Nov-2025 02:13     27M
radarr-openrc-6.0.4.10291-r0.apk                   18-Nov-2025 02:13    2091
raku-distribution-builder-makefromjson-0.6-r1.apk  29-Aug-2025 16:42     41K
raku-distribution-builder-makefromjson-doc-0.6-..> 29-Aug-2025 16:42    2409
raku-inline-perl5-0.60_git20250327-r1.apk          29-Aug-2025 16:42      2M
raku-inline-perl5-doc-0.60_git20250327-r1.apk      29-Aug-2025 16:42    6445
raku-system-query-0.1.6-r1.apk                     29-Aug-2025 16:42     17K
raku-system-query-doc-0.1.6-r1.apk                 29-Aug-2025 16:42    2572
randrctl-1.10.0-r0.apk                             20-Nov-2024 11:43     28K
randrctl-pyc-1.10.0-r0.apk                         20-Nov-2024 11:43     31K
rankwidth-0.9-r4.apk                               28-Oct-2025 11:16    5030
rankwidth-dev-0.9-r4.apk                           28-Oct-2025 11:16    2995
rankwidth-doc-0.9-r4.apk                           28-Oct-2025 11:16    2983
rankwidth-libs-0.9-r4.apk                          28-Oct-2025 11:16    4786
rankwidth-static-0.9-r4.apk                        28-Oct-2025 11:16    3851
raspberrypi-usbboot-20250227-r0.apk                09-Jun-2025 17:39    886K
raspi2png-0.0.20190727-r0.apk                      26-Oct-2024 04:08    6029
rathole-0.5.0-r0.apk                               26-Oct-2024 04:08      1M
rattler-build-0.18.0-r0.apk                        26-Oct-2024 04:08      5M
rattler-build-bash-completion-0.18.0-r0.apk        26-Oct-2024 04:08    3704
rattler-build-doc-0.18.0-r0.apk                    26-Oct-2024 04:08    6885
rattler-build-fish-completion-0.18.0-r0.apk        26-Oct-2024 04:08    4831
rattler-build-zsh-completion-0.18.0-r0.apk         26-Oct-2024 04:08    5569
rauc-1.10.1-r0.apk                                 26-Oct-2024 04:08    140K
rauc-doc-1.10.1-r0.apk                             26-Oct-2024 04:08    4243
rauc-service-1.10.1-r0.apk                         26-Oct-2024 04:08    3809
razercfg-0.42-r7.apk                               26-Oct-2024 04:08     68K
razercfg-gui-0.42-r7.apk                           26-Oct-2024 04:08     19K
razercfg-openrc-0.42-r7.apk                        26-Oct-2024 04:08    1760
razercfg-pyc-0.42-r7.apk                           26-Oct-2024 04:08     36K
rclone-browser-1.8.0-r1.apk                        26-Oct-2024 04:08    313K
rdedup-3.2.1-r5.apk                                26-Oct-2024 04:08    737K
rdrview-0.1.3-r0.apk                               23-Feb-2025 04:22     24K
rdrview-doc-0.1.3-r0.apk                           23-Feb-2025 04:22    3782
reaction-2.2.1-r0.apk                              24-Sep-2025 05:48      1M
reaction-openrc-2.2.1-r0.apk                       24-Sep-2025 05:48    1874
reaction-tools-2.2.1-r0.apk                        24-Sep-2025 05:48    4518
readosm-1.1.0-r3.apk                               13-May-2025 06:20     14K
readosm-dev-1.1.0-r3.apk                           13-May-2025 06:20     18K
reap-0.2-r0.apk                                    13-Sep-2025 22:05    4804
reap-doc-0.2-r0.apk                                13-Sep-2025 22:05    2732
reason-3.8.2-r2.apk                                25-Oct-2025 13:57     18M
reason-rtop-3.8.2-r2.apk                           25-Oct-2025 13:57     24M
reaver-wps-fork-t6x-1.6.6-r1.apk                   26-Oct-2024 04:08    346K
recoll-1.37.5-r1.apk                               26-Oct-2024 04:08      3M
recoll-dev-1.37.5-r1.apk                           26-Oct-2024 04:08     53K
recoll-doc-1.37.5-r1.apk                           26-Oct-2024 04:08     21K
recyclarr-7.5.2-r0.apk                             14-Dec-2025 02:36      3M
recyclarr-doc-7.5.2-r0.apk                         14-Dec-2025 02:36    2322
redhat-fonts-4.1.0-r1.apk                          09-Aug-2025 09:22    809K
redlib-0.36.0-r0.apk                               27-Oct-2025 17:30      3M
refine-0.6.3-r0.apk                                21-Nov-2025 08:49     34K
refine-lang-0.6.3-r0.apk                           21-Nov-2025 08:49     32K
reg-0.16.1-r33.apk                                 04-Dec-2025 22:54      5M
regal-0.36.1-r1.apk                                04-Dec-2025 22:54     12M
regal-bash-completion-0.36.1-r1.apk                04-Dec-2025 22:54    6251
regal-fish-completion-0.36.1-r1.apk                04-Dec-2025 22:54    4430
regal-zsh-completion-0.36.1-r1.apk                 04-Dec-2025 22:54    4145
regclient-0.11.1-r0.apk                            08-Dec-2025 19:41     14M
remake-1.5-r1.apk                                  26-Oct-2024 04:08    124K
remake-dev-1.5-r1.apk                              26-Oct-2024 04:08    3002
remake-doc-1.5-r1.apk                              26-Oct-2024 04:08    202K
remake-make-1.5-r1.apk                             26-Oct-2024 04:08    1570
remco-0.12.5-r5.apk                                04-Dec-2025 22:54      9M
remco-doc-0.12.5-r5.apk                            04-Dec-2025 22:54    2310
remco-openrc-0.12.5-r5.apk                         04-Dec-2025 22:54    1746
remind-caldav-0.8.0-r4.apk                         26-Oct-2024 04:08     18K
remind-caldav-pyc-0.8.0-r4.apk                     26-Oct-2024 04:08    6287
repgrep-0.15.0-r0.apk                              26-Oct-2024 04:08      1M
repgrep-bash-completion-0.15.0-r0.apk              26-Oct-2024 04:08    1653
repgrep-doc-0.15.0-r0.apk                          26-Oct-2024 04:08    6627
repgrep-fish-completion-0.15.0-r0.apk              26-Oct-2024 04:08    4221
repgrep-zsh-completion-0.15.0-r0.apk               26-Oct-2024 04:08    1660
repo-2.60-r0.apk                                   13-Dec-2025 04:49     17K
repo-doc-2.60-r0.apk                               13-Dec-2025 04:49     40K
repowerd-2023.07-r3.apk                            22-Feb-2025 21:17    790K
repowerd-openrc-2023.07-r3.apk                     22-Feb-2025 21:17    1715
reprotest-0.7.32-r0.apk                            02-Nov-2025 23:19     80K
reprotest-pyc-0.7.32-r0.apk                        02-Nov-2025 23:19    103K
reredirect-0.3-r0.apk                              26-Oct-2024 04:08    7380
reredirect-doc-0.3-r0.apk                          26-Oct-2024 04:08    2908
responder-3.1.7.0-r0.apk                           14-Oct-2025 23:54    747K
restart-services-0.17.0-r0.apk                     26-Oct-2024 04:08     12K
restart-services-doc-0.17.0-r0.apk                 26-Oct-2024 04:08    6024
restic.mk-0.4.0-r0.apk                             26-Oct-2024 04:08    2981
restinio-0.6.19-r1.apk                             15-Dec-2024 04:23    1259
restinio-dev-0.6.19-r1.apk                         15-Dec-2024 04:23    268K
rezolus-2.11.1-r3.apk                              26-Oct-2024 04:08    784K
rezolus-doc-2.11.1-r3.apk                          26-Oct-2024 04:08    3442
rezolus-openrc-2.11.1-r3.apk                       26-Oct-2024 04:08    2120
rgxg-0.1.2-r2.apk                                  26-Oct-2024 04:08     13K
rgxg-dev-0.1.2-r2.apk                              26-Oct-2024 04:08    3609
rgxg-doc-0.1.2-r2.apk                              26-Oct-2024 04:08     12K
rhasspy-nlu-0.4.0-r3.apk                           26-Oct-2024 04:08     44K
rhasspy-nlu-pyc-0.4.0-r3.apk                       26-Oct-2024 04:08     73K
riemann-cli-0.8.0-r2.apk                           26-Oct-2024 04:08    476K
rinetd-0.73-r0.apk                                 26-Oct-2024 04:08     13K
rinetd-doc-0.73-r0.apk                             26-Oct-2024 04:08     16K
rinetd-openrc-0.73-r0.apk                          26-Oct-2024 04:08    1733
ripasso-cursive-0.7.0-r0.apk                       24-May-2025 04:58      3M
river-luatile-0.1.4-r0.apk                         19-May-2025 21:22    227K
river-shifttags-0.2.1-r1.apk                       13-May-2025 06:20    6072
river-shifttags-doc-0.2.1-r1.apk                   13-May-2025 06:20    2426
rizin-0.8.1-r0.apk                                 02-Jul-2025 03:10      3M
rizin-cutter-2.4.1-r0.apk                          02-Jul-2025 03:10      2M
rizin-cutter-dev-2.4.1-r0.apk                      02-Jul-2025 03:10    103K
rizin-dev-0.8.1-r0.apk                             02-Jul-2025 03:10    323K
rizin-doc-0.8.1-r0.apk                             02-Jul-2025 03:10     19K
rizin-libs-0.8.1-r0.apk                            02-Jul-2025 03:10      5M
rkdeveloptool-1.1.0-r2.apk                         27-Nov-2025 05:47     50K
rkdeveloptool-doc-1.1.0-r2.apk                     27-Nov-2025 05:47    3059
rkdeveloptool-udev-1.1.0-r2.apk                    27-Nov-2025 05:47    1823
rke-1.4.3-r20.apk                                  04-Dec-2025 22:54     20M
rke-doc-1.4.3-r20.apk                              04-Dec-2025 22:54    3030
rmlint-2.10.2-r2.apk                               26-Oct-2024 04:08    134K
rmlint-doc-2.10.2-r2.apk                           26-Oct-2024 04:08     18K
rmlint-lang-2.10.2-r2.apk                          26-Oct-2024 04:08     19K
rmlint-shredder-2.10.2-r2.apk                      26-Oct-2024 04:08     96K
rmlint-shredder-pyc-2.10.2-r2.apk                  26-Oct-2024 04:08    124K
rocm-cmake-6.4.3-r0.apk                            18-Aug-2025 20:32     28K
rocm-cmake-doc-6.4.3-r0.apk                        18-Aug-2025 20:32    2485
rocm-core-6.4.3-r0.apk                             18-Aug-2025 20:32    7698
rocm-core-dev-6.4.3-r0.apk                         18-Aug-2025 20:32    6747
rocm-core-doc-6.4.3-r0.apk                         18-Aug-2025 20:32    2486
rofi-json-menu-0.2.0-r1.apk                        26-Oct-2024 04:08    4803
rofi-pass-2.0.2-r2.apk                             26-Oct-2024 04:08    9056
rofi-pass-doc-2.0.2-r2.apk                         26-Oct-2024 04:08    5073
roll-2.6.1-r1.apk                                  14-Oct-2025 00:50     11K
roll-bash-completion-2.6.1-r1.apk                  14-Oct-2025 00:50    1889
roll-doc-2.6.1-r1.apk                              14-Oct-2025 00:50     11K
rosdep-0.19.0-r6.apk                               26-Oct-2024 04:08     66K
rosdep-pyc-0.19.0-r6.apk                           26-Oct-2024 04:08    119K
rot8-1.0.0-r0.apk                                  29-Jul-2025 06:43    702K
rot8-doc-1.0.0-r0.apk                              29-Jul-2025 06:43    2276
rpg-cli-1.2.0-r0.apk                               26-Oct-2024 04:08    533K
rpi-imager-1.9.0-r1.apk                            24-Jul-2025 22:42    682K
rpi-imager-doc-1.9.0-r1.apk                        24-Jul-2025 22:42    3182
rpicam-apps-1.10.1-r0.apk                          02-Dec-2025 22:00    405K
rpicam-apps-doc-1.10.1-r0.apk                      02-Dec-2025 22:00    2427
rss-email-0.5.1-r0.apk                             10-Aug-2025 20:21      2M
rss-email-doc-0.5.1-r0.apk                         10-Aug-2025 20:21    6546
rsstail-2.2-r0.apk                                 13-Feb-2025 16:17    8186
rsstail-doc-2.2-r0.apk                             13-Feb-2025 16:17    2822
rt5-5.0.8-r0.apk                                   15-Jun-2025 13:44     17M
rt6-6.0.1-r0.apk                                   13-Aug-2025 12:46     12M
rtl-power-fftw-20200601-r4.apk                     26-Oct-2024 04:08     57K
rtl-power-fftw-doc-20200601-r4.apk                 26-Oct-2024 04:08    8350
rtl8192eu-src-5.11.2.3_git20251017-r0.apk          04-Dec-2025 22:54      3M
rtl8812au-src-5.6.4.2_git20250530-r0.apk           01-Jun-2025 01:23      3M
rtl88x2bu-src-5.13.1_git20230711-r0.apk            26-Oct-2024 04:08      4M
rtmidi-6.0.0-r0.apk                                26-Oct-2024 04:08     27K
rtmidi-dev-6.0.0-r0.apk                            26-Oct-2024 04:08     14K
rtptools-1.22-r2.apk                               26-Oct-2024 04:08     37K
rtptools-doc-1.22-r2.apk                           26-Oct-2024 04:08     13K
rtw89-src-7_p20230725-r0.apk                       26-Oct-2024 04:08    759K
ruby-ansi-1.5.0-r0.apk                             07-Jul-2025 03:16     26K
ruby-appraisal-2.5.0-r0.apk                        26-May-2025 08:52     11K
ruby-appraisal-doc-2.5.0-r0.apk                    26-May-2025 08:52    2367
ruby-benchmark-ips-2.14.0-r0.apk                   26-May-2025 08:54     14K
ruby-benchmark-ips-doc-2.14.0-r0.apk               26-May-2025 08:54    2322
ruby-build-20250925-r0.apk                         29-Sep-2025 00:45     93K
ruby-build-doc-20250925-r0.apk                     29-Sep-2025 00:45    4906
ruby-build-runtime-20250925-r0.apk                 29-Sep-2025 00:45    1339
ruby-byebug-12.0.0-r0.apk                          21-Oct-2025 13:12    121K
ruby-coderay-1.1.3-r0.apk                          26-May-2025 08:56     89K
ruby-coderay-doc-1.1.3-r0.apk                      26-May-2025 08:56    2340
ruby-crack-1.0.1-r0.apk                            21-Oct-2025 13:14    2378
ruby-crack-doc-1.0.1-r0.apk                        21-Oct-2025 13:14    2301
ruby-dry-inflector-1.2.0-r0.apk                    21-Oct-2025 13:16    8370
ruby-dry-inflector-doc-1.2.0-r0.apk                21-Oct-2025 13:16    2313
ruby-event_emitter-0.2.6-r0.apk                    26-May-2025 09:00    3151
ruby-event_emitter-doc-0.2.6-r0.apk                26-May-2025 09:00    2322
ruby-facter-4.10.0-r0.apk                          28-Jul-2025 11:04    218K
ruby-fast_gettext-3.1.0-r0.apk                     26-May-2025 08:58     22K
ruby-hashdiff-1.2.1-r0.apk                         21-Oct-2025 13:18    9167
ruby-hashdiff-doc-1.2.1-r0.apk                     21-Oct-2025 13:18    2302
ruby-hoe-4.3.0-r0.apk                              21-Oct-2025 13:19     27K
ruby-libguestfs-1.56.1-r0.apk                      24-Jul-2025 22:42    102K
ruby-mail-2.9.0-r0.apk                             24-Oct-2025 21:36    394K
ruby-minitest-autotest-1.2.0-r0.apk                16-Dec-2025 03:35     14K
ruby-minitest-focus-1.3.1-r0.apk                   07-Jul-2025 03:16    5282
ruby-minitest-power_assert-0.3.1-r0.apk            07-Jul-2025 03:16    2282
ruby-minitest-proveit-1.0.0-r0.apk                 07-Jul-2025 03:16    4882
ruby-minitest-reporters-1.7.1-r0.apk               07-Jul-2025 03:16     20K
ruby-minitest-server-1.0.9-r0.apk                  22-Nov-2025 03:02    5524
ruby-path_expander-2.0.0-r0.apk                    16-Dec-2025 03:35    6795
ruby-ruby-progressbar-1.13.0-r0.apk                07-Jul-2025 03:16     25K
ruff-lsp-0.0.62-r0.apk                             11-Mar-2025 05:53     21K
ruff-lsp-pyc-0.0.62-r0.apk                         11-Mar-2025 05:53     35K
runst-0.2.0-r0.apk                                 18-Nov-2025 00:33      2M
runst-doc-0.2.0-r0.apk                             18-Nov-2025 00:33    8005
runu-0.12.3-r1.apk                                 04-Dec-2025 22:54     14M
ruri-3.9.3-r0.apk                                  27-Oct-2025 17:30    127K
ruri-doc-3.9.3-r0.apk                              27-Oct-2025 17:30    2292
rust-script-0.36.0-r0.apk                          09-Sep-2025 22:17    816K
rustdesk-server-1.1.10.3-r0.apk                    26-Oct-2024 04:08      2M
rustdesk-server-openrc-1.1.10.3-r0.apk             26-Oct-2024 04:08    2275
rustic-0.9.3-r0.apk                                26-Oct-2024 04:08      5M
rustic-bash-completion-0.9.3-r0.apk                26-Oct-2024 04:08    8816
rustic-fish-completion-0.9.3-r0.apk                26-Oct-2024 04:08     17K
rustic-zsh-completion-0.9.3-r0.apk                 26-Oct-2024 04:08     13K
rustical-0.9.14-r0.apk                             02-Nov-2025 16:39      4M
rustscan-2.4.1-r0.apk                              22-Dec-2025 11:32      1M
ruuvi-prometheus-0.1.9-r7.apk                      04-Dec-2025 22:54      3M
ruuvi-prometheus-openrc-0.1.9-r7.apk               04-Dec-2025 22:54    1713
rvlprog-0.91-r2.apk                                26-Oct-2024 04:08     24K
ry-0.5.2-r1.apk                                    26-Oct-2024 04:08    4735
ry-bash-completion-0.5.2-r1.apk                    26-Oct-2024 04:08    2001
ry-zsh-completion-0.5.2-r1.apk                     26-Oct-2024 04:08    2312
rygel-45.0-r1.apk                                  19-Nov-2025 03:33    693K
rygel-dev-45.0-r1.apk                              19-Nov-2025 03:33     43K
rygel-doc-45.0-r1.apk                              19-Nov-2025 03:33    9907
rygel-lang-45.0-r1.apk                             19-Nov-2025 03:33    584K
rygel-systemd-45.0-r1.apk                          19-Nov-2025 03:33    1788
s-dkim-sign-0.6.2-r0.apk                           26-Oct-2024 04:08     48K
s-dkim-sign-doc-0.6.2-r0.apk                       26-Oct-2024 04:08    8729
s-postgray-0.8.3-r0.apk                            26-Oct-2024 04:08     39K
s-postgray-doc-0.8.3-r0.apk                        26-Oct-2024 04:08    9811
s5cmd-2.3.0-r8.apk                                 04-Dec-2025 22:54      5M
saait-0.8-r0.apk                                   26-Oct-2024 04:08    6838
saait-doc-0.8-r0.apk                               26-Oct-2024 04:08     13K
sacc-1.07-r1.apk                                   13-Sep-2025 10:00     14K
sacc-doc-1.07-r1.apk                               13-Sep-2025 10:00    2942
sandbar-0.2_git20250909-r0.apk                     21-Dec-2025 23:37     14K
satellite-1.0.0-r33.apk                            04-Dec-2025 22:54      2M
satellite-doc-1.0.0-r33.apk                        04-Dec-2025 22:54    3095
satellite-openrc-1.0.0-r33.apk                     04-Dec-2025 22:54    1947
sauerbraten-2020.12.29-r4.apk                      22-Feb-2025 21:19    934M
sbase-0_git20210730-r3.apk                         26-Oct-2024 04:08    109K
sbase-doc-0_git20210730-r3.apk                     26-Oct-2024 04:08     58K
sblg-0.5.11-r0.apk                                 26-Oct-2024 04:08     33K
sblg-doc-0.5.11-r0.apk                             26-Oct-2024 04:08      1M
sblim-sfcc-2.2.8-r3.apk                            26-Oct-2024 04:08     47K
sblim-sfcc-dev-2.2.8-r3.apk                        26-Oct-2024 04:08     22K
sblim-sfcc-doc-2.2.8-r3.apk                        26-Oct-2024 04:08     35K
sblim-wbemcli-1.6.3-r1.apk                         26-Oct-2024 04:08     90K
sblim-wbemcli-doc-1.6.3-r1.apk                     26-Oct-2024 04:08    4637
sc-controller-0.5.5-r0.apk                         14-Dec-2025 17:56      1M
sc-controller-pyc-0.5.5-r0.apk                     14-Dec-2025 17:56    814K
sc-controller-udev-0.5.5-r0.apk                    14-Dec-2025 17:56    2109
sc3-plugins-3.13.0-r2.apk                          09-Feb-2025 08:44      8M
scalingo-1.30.0-r15.apk                            04-Dec-2025 22:54      5M
scap-workbench-1.2.1-r3.apk                        26-Oct-2024 04:08    223K
scap-workbench-doc-1.2.1-r3.apk                    26-Oct-2024 04:08      2M
scenefx-0.4.1-r0.apk                               21-Dec-2025 08:13     52K
scenefx-dev-0.4.1-r0.apk                           21-Dec-2025 08:13     11K
scenefx-doc-0.4.1-r0.apk                           21-Dec-2025 08:13    2329
schismtracker-20251014-r0.apk                      25-Oct-2025 08:50    408K
schismtracker-doc-20251014-r0.apk                  25-Oct-2025 08:50    6489
scooper-1.3-r1.apk                                 26-Oct-2024 04:08    424K
scooper-doc-1.3-r1.apk                             26-Oct-2024 04:08    2650
scratch-1.4.0.7-r2.apk                             09-Oct-2025 21:30     39M
scratch-doc-1.4.0.7-r2.apk                         09-Oct-2025 21:30    2674
screenkey-1.5-r7.apk                               24-Jul-2025 22:42     76K
screenkey-doc-1.5-r7.apk                           24-Jul-2025 22:42     11K
screenkey-pyc-1.5-r7.apk                           24-Jul-2025 22:42     73K
sct-2018.12.18-r1.apk                              26-Oct-2024 04:08    3478
sdl3_image-3.2.4-r0.apk                            25-Mar-2025 05:21     67K
sdl3_image-dev-3.2.4-r0.apk                        25-Mar-2025 05:21     12K
sdl3_image-doc-3.2.4-r0.apk                        25-Mar-2025 05:21    2112
sdparm-1.12-r1.apk                                 26-Oct-2024 04:08    133K
sdparm-doc-1.12-r1.apk                             26-Oct-2024 04:08     19K
seaweedfs-3.93-r4.apk                              04-Dec-2025 22:54     26M
seaweedfs-doc-3.93-r4.apk                          04-Dec-2025 22:54     14K
seaweedfs-openrc-3.93-r4.apk                       04-Dec-2025 22:54    1955
secsipidx-1.3.2-r17.apk                            04-Dec-2025 22:54      3M
secsipidx-dev-1.3.2-r17.apk                        04-Dec-2025 22:54      5M
secsipidx-libs-1.3.2-r17.apk                       04-Dec-2025 22:54      2M
sedutil-1.15.1-r1.apk                              26-Oct-2024 04:08    173K
sedutil-doc-1.15.1-r1.apk                          26-Oct-2024 04:08    3136
semaphoreui-2.16.37-r1.apk                         04-Dec-2025 22:54     16M
semaphoreui-bash-completion-2.16.37-r1.apk         04-Dec-2025 22:54    6240
semaphoreui-doc-2.16.37-r1.apk                     04-Dec-2025 22:54    2376
semaphoreui-fish-completion-2.16.37-r1.apk         04-Dec-2025 22:54    4429
semaphoreui-openrc-2.16.37-r1.apk                  04-Dec-2025 22:54    2144
semaphoreui-zsh-completion-2.16.37-r1.apk          04-Dec-2025 22:54    4142
sentinel-minipot-2.3.0-r1.apk                      26-Oct-2024 04:08     40K
sentinel-minipot-openrc-2.3.0-r1.apk               26-Oct-2024 04:08    2637
sentinel-proxy-2.1.0-r1.apk                        13-Jun-2025 23:11     36K
sentinel-proxy-dev-2.1.0-r1.apk                    13-Jun-2025 23:11    4376
sentinel-proxy-openrc-2.1.0-r1.apk                 13-Jun-2025 23:11    2298
serialdv-1.1.5-r0.apk                              25-Oct-2025 05:26    6343
serialdv-dev-1.1.5-r0.apk                          25-Oct-2025 05:26    5472
serialdv-libs-1.1.5-r0.apk                         25-Oct-2025 05:26     56K
serie-0.5.5-r0.apk                                 11-Dec-2025 02:06    757K
serie-doc-0.5.5-r0.apk                             11-Dec-2025 02:06    8401
setroot-2.0.2-r1.apk                               26-Oct-2024 04:08     11K
setroot-doc-2.0.2-r1.apk                           26-Oct-2024 04:08    4549
sfizz-1.2.3-r1.apk                                 02-Oct-2025 23:29      2M
sfizz-dev-1.2.3-r1.apk                             02-Oct-2025 23:29     15K
sfizz-doc-1.2.3-r1.apk                             02-Oct-2025 23:29    3089
sflowtool-6.02-r0.apk                              26-Oct-2024 04:08     41K
sflowtool-doc-6.02-r0.apk                          26-Oct-2024 04:08    9556
sfwbar-1.0_beta161-r0.apk                          31-Jul-2025 22:29    253K
sfwbar-doc-1.0_beta161-r0.apk                      31-Jul-2025 22:29     27K
sgt-puzzles-0_git20230310-r2.apk                   26-Oct-2024 04:08      2M
shc-4.0.3-r2.apk                                   26-Oct-2024 04:08     18K
shellinabox-2.21-r3.apk                            26-Oct-2024 04:08    115K
shellinabox-doc-2.21-r3.apk                        26-Oct-2024 04:08     19K
shellinabox-openrc-2.21-r3.apk                     26-Oct-2024 04:08    3608
shine-3.1.1-r0.apk                                 26-Oct-2024 04:08     50K
shipments-0.3.0-r0.apk                             26-Oct-2024 04:08     23K
shntool-3.0.10-r5.apk                              25-Feb-2025 07:28     54K
shntool-doc-3.0.10-r5.apk                          25-Feb-2025 07:28     10K
shutdown-clear-machine-id-1.0.0-r0.apk             26-Oct-2024 04:08    1834
sigma-0.23.1-r1.apk                                26-Oct-2024 04:08    237K
sigma-pyc-0.23.1-r1.apk                            26-Oct-2024 04:08    340K
sigrok-cli-0.7.2-r0.apk                            26-Oct-2024 04:08     36K
sigrok-cli-doc-0.7.2-r0.apk                        26-Oct-2024 04:08    8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           26-Oct-2024 04:08     13K
silc-client-1.1.11-r18.apk                         02-Jul-2025 03:10    740K
silc-client-doc-1.1.11-r18.apk                     02-Jul-2025 03:10     82K
simgear-2024.1.1-r0.apk                            05-Mar-2025 09:14      2M
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 09:14    404K
simh-3.11.1-r1.apk                                 26-Oct-2024 04:08      3M
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 21:27    447K
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 21:27    478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 21:27    482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 21:27    485K
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 21:27    483K
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 21:27    504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 21:27    520K
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 21:27    526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 21:27    509K
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 21:27    535K
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 21:27    547K
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 21:27    528K
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 21:27    537K
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 21:27    533K
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 21:27    544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 21:27    530K
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 21:27    540K
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 21:27    484K
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 21:27    490K
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 21:27     14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 21:27    525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 21:27    537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 21:27    517K
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 21:27    527K
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 21:27    449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 21:27    487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 21:27    492K
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 21:27    450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 21:27    451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 21:27    536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 21:27    549K
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 21:27    518K
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 21:27    525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 21:27    533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 21:27    538K
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 21:27    551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 21:27    545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 21:27    538K
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 21:27    550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 21:27    524K
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 21:27    535K
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 21:27    531K
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 21:27    542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 21:27    530K
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 21:27    541K
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 21:27    520K
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 21:27    527K
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 21:27    534K
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 21:27    546K
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 21:27    529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 21:27    540K
simpleble-0.10.3-r0.apk                            15-Jul-2025 19:28    1260
simpleble-dev-0.10.3-r0.apk                        15-Jul-2025 19:28     28K
singular-4.4.1_p2-r1.apk                           26-Nov-2025 17:23     10M
singular-dev-4.4.1_p2-r1.apk                       26-Nov-2025 17:23    359K
singular-doc-4.4.1_p2-r1.apk                       26-Nov-2025 17:23      1M
singular-emacs-4.4.1_p2-r1.apk                     26-Nov-2025 17:23    102K
singular-static-4.4.1_p2-r1.apk                    26-Nov-2025 17:23      5M
sipexer-1.2.0-r8.apk                               04-Dec-2025 22:54      3M
sipgrep-2.2.0-r1.apk                               26-Oct-2024 04:08     24K
siril-1.2.6-r5.apk                                 28-Sep-2025 06:20      3M
siril-doc-1.2.6-r5.apk                             28-Sep-2025 06:20     18K
siril-lang-1.2.6-r5.apk                            28-Sep-2025 06:20      2M
sish-2.16.1-r10.apk                                04-Dec-2025 22:54      8M
sish-openrc-2.16.1-r10.apk                         04-Dec-2025 22:54    1952
sloccount-2.26-r3.apk                              26-Oct-2024 04:08     56K
sloccount-doc-2.26-r3.apk                          26-Oct-2024 04:08     59K
slurm-0.4.4-r0.apk                                 26-Oct-2024 04:08     14K
slurm-doc-0.4.4-r0.apk                             26-Oct-2024 04:08    2314
smassh-3.1.6-r0.apk                                21-Nov-2024 11:56     72K
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 11:56     70K
smile-2.11.0-r0.apk                                21-Dec-2025 20:40    873K
smile-lang-2.11.0-r0.apk                           21-Dec-2025 20:40     28K
smplxmpp-0.9.3-r5.apk                              12-Oct-2025 21:12    130K
smplxmpp-doc-0.9.3-r5.apk                          12-Oct-2025 21:12     25K
snapper-0.13.0-r0.apk                              19-Sep-2025 23:53    865K
snapper-bash-completion-0.13.0-r0.apk              19-Sep-2025 23:53    3450
snapper-dev-0.13.0-r0.apk                          19-Sep-2025 23:53     11K
snapper-doc-0.13.0-r0.apk                          19-Sep-2025 23:53     25K
snapper-lang-0.13.0-r0.apk                         19-Sep-2025 23:53    219K
snapper-zsh-completion-0.13.0-r0.apk               19-Sep-2025 23:53    3653
snapraid-13.0-r0.apk                               01-Nov-2025 06:40    269K
snapraid-doc-13.0-r0.apk                           01-Nov-2025 06:40     19K
snippets-ls-0.0.4_git20240617-r10.apk              04-Dec-2025 22:54      1M
snore-0.3.1-r0.apk                                 26-Oct-2024 04:08    4854
snore-doc-0.3.1-r0.apk                             26-Oct-2024 04:08    3121
so-0.4.10-r0.apk                                   26-Oct-2024 04:08      2M
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 10:11     46K
solanum-6.0.0-r0.apk                               12-Apr-2025 03:19    233K
solanum-lang-6.0.0-r0.apk                          12-Apr-2025 03:19     47K
solarus-engine-1.7.0-r2.apk                        24-Jul-2025 22:42      2M
solarus-engine-doc-1.7.0-r2.apk                    24-Jul-2025 22:42    3429
somebar-1.0.3-r0.apk                               26-Oct-2024 04:08     32K
somebar-doc-1.0.3-r0.apk                           26-Oct-2024 04:08    2481
sonarr-4.0.16.2944-r0.apk                          18-Nov-2025 02:13     27M
sonarr-openrc-4.0.16.2944-r0.apk                   18-Nov-2025 02:13    2092
sonicradio-0.8.4-r2.apk                            04-Dec-2025 22:54      3M
sopwith-2.5.0-r0.apk                               26-Oct-2024 04:08     45K
sopwith-doc-2.5.0-r0.apk                           26-Oct-2024 04:08     15K
sos-0.8-r36.apk                                    04-Dec-2025 22:54      3M
soundconverter-4.1.1-r0.apk                        29-Jul-2025 22:12    165K
soundconverter-doc-4.1.1-r0.apk                    29-Jul-2025 22:12    4833
soundconverter-lang-4.1.1-r0.apk                   29-Jul-2025 22:12    107K
soundconverter-pyc-4.1.1-r0.apk                    29-Jul-2025 22:12     77K
spacectl-1.12.0-r6.apk                             04-Dec-2025 22:54      6M
spacectl-bash-completion-1.12.0-r6.apk             04-Dec-2025 22:54    2105
spacectl-doc-1.12.0-r6.apk                         04-Dec-2025 22:54    2344
spacectl-fish-completion-1.12.0-r6.apk             04-Dec-2025 22:54    7227
spacectl-zsh-completion-1.12.0-r6.apk              04-Dec-2025 22:54    1855
spark-2.8.3-r1.apk                                 26-Oct-2024 04:08     29M
speedcrunch-0.12-r3.apk                            26-Oct-2024 04:08      1M
speedtest-5.2.5-r1.apk                             26-Oct-2024 04:08    253K
speedtest-doc-5.2.5-r1.apk                         26-Oct-2024 04:08     18K
speedtest-examples-5.2.5-r1.apk                    26-Oct-2024 04:08     13K
speedtest-go-1.1.5-r20.apk                         04-Dec-2025 22:54      5M
speedtest-go-doc-1.1.5-r20.apk                     04-Dec-2025 22:54    4619
speedtest-go-openrc-1.1.5-r20.apk                  04-Dec-2025 22:54    1780
speedtest_exporter-0.3.2-r20.apk                   04-Dec-2025 22:54      4M
speedtest_exporter-openrc-0.3.2-r20.apk            04-Dec-2025 22:54    1901
spice-html5-0.3.0-r1.apk                           26-Oct-2024 04:08    438K
spike-1.1.0-r0.apk                                 26-Oct-2024 04:08    920K
spnavcfg-1.1-r0.apk                                26-Oct-2024 04:08     34K
spotify-tui-0.25.0-r2.apk                          26-Oct-2024 04:08      2M
spread-sheet-widget-0.10-r0.apk                    26-Oct-2024 04:08     39K
spread-sheet-widget-dbg-0.10-r0.apk                26-Oct-2024 04:08    195K
spread-sheet-widget-dev-0.10-r0.apk                26-Oct-2024 04:08    340K
spread-sheet-widget-doc-0.10-r0.apk                26-Oct-2024 04:08    4748
spreadtrum_flash-1.20240815-r0.apk                 11-May-2025 20:57     31K
spvm-errno-0.093-r1.apk                            02-Jul-2025 03:10     15K
spvm-errno-doc-0.093-r1.apk                        02-Jul-2025 03:10    6151
spvm-math-1.006-r1.apk                             02-Jul-2025 03:10     18K
spvm-math-doc-1.006-r1.apk                         02-Jul-2025 03:10    7103
spvm-mime-base64-1.003-r1.apk                      02-Jul-2025 03:10     13K
spvm-mime-base64-doc-1.003-r1.apk                  02-Jul-2025 03:10    5611
spvm-thread-0.003-r1.apk                           02-Jul-2025 03:10     10K
spvm-thread-doc-0.003-r1.apk                       02-Jul-2025 03:10    6111
sqlar-0_git20180107-r1.apk                         26-Oct-2024 04:08     14K
sqlar-doc-0_git20180107-r1.apk                     26-Oct-2024 04:08    3387
sqliteodbc-0.99991-r0.apk                          26-Oct-2024 04:08     81K
sqlmap-1.9.12-r0.apk                               13-Dec-2025 05:05      7M
sqlmap-pyc-1.9.12-r0.apk                           13-Dec-2025 05:05      1M
sqm-scripts-1.6.0-r0.apk                           26-Oct-2024 04:08     20K
sqruff-0.25.26-r0.apk                              14-Apr-2025 05:34      2M
sqruff-doc-0.25.26-r0.apk                          14-Apr-2025 05:34    8851
squeak-vm-4.10.2.2614-r2.apk                       09-Oct-2025 21:30    490K
squeak-vm-doc-4.10.2.2614-r2.apk                   09-Oct-2025 21:30     12K
srain-1.8.1-r0.apk                                 27-Jun-2025 03:45    144K
srain-lang-1.8.1-r0.apk                            27-Jun-2025 03:45     35K
ssdfs-tools-4.09-r0.apk                            26-Oct-2024 04:08     96K
ssdfs-tools-dev-4.09-r0.apk                        26-Oct-2024 04:08     18K
ssh-get-id-0.2.0-r0.apk                            15-Dec-2025 12:11      2M
ssh-get-id-doc-0.2.0-r0.apk                        15-Dec-2025 12:11    2324
ssh-honeypot-0.1.1-r1.apk                          26-Oct-2024 04:08    8127
ssh-honeypot-openrc-0.1.1-r1.apk                   26-Oct-2024 04:08    2103
ssh-studio-1.3.1-r0.apk                            13-Oct-2025 05:35    202K
ssh-studio-lang-1.3.1-r0.apk                       13-Oct-2025 05:35    1922
ssh-studio-pyc-1.3.1-r0.apk                        13-Oct-2025 05:35    107K
ssh-tools-1.8-r0.apk                               26-Oct-2024 04:08     26K
sshm-1.9.0-r1.apk                                  04-Dec-2025 22:54      4M
sshm-doc-1.9.0-r1.apk                              04-Dec-2025 22:54    2304
sshs-4.7.2-r0.apk                                  24-Apr-2025 07:28    639K
sshsrv-1.0-r17.apk                                 04-Dec-2025 22:54    998K
sshuttle-1.1.2-r0.apk                              26-Oct-2024 04:08     62K
sshuttle-doc-1.1.2-r0.apk                          26-Oct-2024 04:08    8680
sshuttle-pyc-1.1.2-r0.apk                          26-Oct-2024 04:08    101K
sssd-2.11.1-r2.apk                                 21-Nov-2025 07:09      2M
sssd-dev-2.11.1-r2.apk                             21-Nov-2025 07:09     15K
sssd-openrc-2.11.1-r2.apk                          21-Nov-2025 07:09    1717
ssss-0.5.7-r0.apk                                  26-Oct-2024 04:08     11K
ssss-doc-0.5.7-r0.apk                              26-Oct-2024 04:08    3418
sstp-client-1.0.20-r3.apk                          24-Oct-2025 11:29     34K
sstp-client-dev-1.0.20-r3.apk                      24-Oct-2025 11:29    5197
sstp-client-doc-1.0.20-r3.apk                      24-Oct-2025 11:29    4980
stalwart-cli-0.15.2-r0.apk                         23-Dec-2025 10:28      2M
stalwart-mail-0.15.2-r0.apk                        23-Dec-2025 10:28     14M
stalwart-mail-openrc-0.15.2-r0.apk                 23-Dec-2025 10:28    2116
stam-0.12.2-r0.apk                                 22-Sep-2025 21:10      1M
starfighter-2.4-r0.apk                             26-Oct-2024 04:08     48M
starfighter-doc-2.4-r0.apk                         26-Oct-2024 04:08     22K
startup-2.0.3-r5.apk                               26-Oct-2024 04:08    461K
startup-bridge-dconf-2.0.3-r5.apk                  26-Oct-2024 04:08     34K
startup-bridge-udev-2.0.3-r5.apk                   26-Oct-2024 04:08     34K
startup-dev-2.0.3-r5.apk                           26-Oct-2024 04:08    5983
startup-doc-2.0.3-r5.apk                           26-Oct-2024 04:08     48K
startup-fish-completion-2.0.3-r5.apk               26-Oct-2024 04:08    5511
startup-lang-2.0.3-r5.apk                          26-Oct-2024 04:08     17K
startup-tools-2.0.3-r5.apk                         26-Oct-2024 04:08     13K
stayrtr-0.6.3-r1.apk                               04-Dec-2025 22:54     11M
stayrtr-openrc-0.6.3-r1.apk                        04-Dec-2025 22:54    2025
steamguard-cli-0.17.1-r0.apk                       15-Jul-2025 19:28      3M
steamguard-cli-bash-completion-0.17.1-r0.apk       15-Jul-2025 19:28    2963
steamguard-cli-zsh-completion-0.17.1-r0.apk        15-Jul-2025 19:28    4325
steghide-0.5.1.1-r0.apk                            26-Oct-2024 04:08    123K
steghide-doc-0.5.1.1-r0.apk                        26-Oct-2024 04:08     14K
stern-1.33.0-r1.apk                                04-Dec-2025 22:54     18M
stern-bash-completion-1.33.0-r1.apk                04-Dec-2025 22:54    5981
stern-fish-completion-1.33.0-r1.apk                04-Dec-2025 22:54    4421
stern-zsh-completion-1.33.0-r1.apk                 04-Dec-2025 22:54    4143
sthttpd-2.27.1-r2.apk                              26-Oct-2024 04:08     58K
sthttpd-doc-2.27.1-r2.apk                          26-Oct-2024 04:08     18K
sthttpd-openrc-2.27.1-r2.apk                       26-Oct-2024 04:08    1995
stockfish-17-r0.apk                                04-Mar-2025 07:42     62M
stone-soup-0.32.1-r0.apk                           26-Oct-2024 04:08     31M
sturmreader-3.7.2-r2.apk                           09-Sep-2025 22:17    999K
sturmreader-lang-3.7.2-r2.apk                      09-Sep-2025 22:17     39K
stw-0.3-r0.apk                                     26-Oct-2024 04:08    7103
stw-doc-0.3-r0.apk                                 26-Oct-2024 04:08    2589
sublime-music-0.12.0-r1.apk                        26-Oct-2024 04:08    190K
sublime-music-pyc-0.12.0-r1.apk                    26-Oct-2024 04:08    302K
subliminal-2.4.0-r0.apk                            24-Nov-2025 09:03     90K
subliminal-pyc-2.4.0-r0.apk                        24-Nov-2025 09:03    179K
sudo-ldap-1.9.17_p1-r0.apk                         02-Jul-2025 03:57    773K
supercollider-3.13.0-r6.apk                        09-Feb-2025 08:44      7M
supercollider-dev-3.13.0-r6.apk                    09-Feb-2025 08:44     39K
supermin-5.2.2-r2.apk                              26-Oct-2024 04:08    490K
supermin-doc-5.2.2-r2.apk                          26-Oct-2024 04:08    9587
supersonik-0.1.0-r3.apk                            04-Dec-2025 22:54      1M
surf-2.1-r3.apk                                    26-Oct-2024 04:08     20K
surf-doc-2.1-r3.apk                                26-Oct-2024 04:08    4742
surfraw-2.3.0-r0.apk                               26-Oct-2024 04:08     79K
surfraw-doc-2.3.0-r0.apk                           26-Oct-2024 04:08     18K
suru-icon-theme-2025.05.0-r0.apk                   11-May-2025 20:57      3M
svgbob-0.7.6-r0.apk                                05-Feb-2025 02:20    404K
svls-0.2.14-r0.apk                                 13-Dec-2025 12:00      3M
svls-doc-0.2.14-r0.apk                             13-Dec-2025 12:00    2282
swaks-20240103.0-r0.apk                            26-Oct-2024 04:08     66K
swaks-doc-20240103.0-r0.apk                        26-Oct-2024 04:08     50K
swappy-1.7.1-r0.apk                                22-Aug-2025 08:29     26K
swappy-doc-1.7.1-r0.apk                            22-Aug-2025 08:29    3903
swappy-lang-1.7.1-r0.apk                           22-Aug-2025 08:29    3887
sway-audio-idle-inhibit-0.1.2-r0.apk               26-Oct-2024 04:08    9087
swayhide-0.2.1-r2.apk                              26-Oct-2024 04:08    224K
swhkd-1.2.1-r0.apk                                 26-Oct-2024 04:08    938K
swhkd-doc-1.2.1-r0.apk                             26-Oct-2024 04:08    6318
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 19:08      4M
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 19:08      2M
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 19:08     22K
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 19:08    922K
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 19:08      1M
sxcs-1.1.0-r0.apk                                  26-Oct-2024 04:08    8321
sxcs-doc-1.1.0-r0.apk                              26-Oct-2024 04:08    2699
syd-tui-0.2.2-r0.apk                               08-Dec-2025 07:33    361K
sydbox-3.45.2-r0.apk                               03-Dec-2025 11:58      4M
sydbox-doc-3.45.2-r0.apk                           03-Dec-2025 11:58    165K
sydbox-oci-3.45.2-r0.apk                           03-Dec-2025 11:58      3M
sydbox-syd-3.45.2-r0.apk                           03-Dec-2025 11:58      2M
sydbox-test-3.45.2-r0.apk                          03-Dec-2025 11:58      2M
sydbox-utils-3.45.2-r0.apk                         03-Dec-2025 11:58      6M
sydbox-vim-3.45.2-r0.apk                           03-Dec-2025 11:58    7386
sylpheed-imap-notify-1.1.0-r2.apk                  26-Oct-2024 04:08    7640
symbiyosys-0.36-r0.apk                             26-Oct-2024 04:08     38K
symengine-0.12.0-r0.apk                            26-Oct-2024 04:08      3M
symlinks-1.4.3-r0.apk                              23-Apr-2025 06:24    5814
symlinks-doc-1.4.3-r0.apk                          23-Apr-2025 06:24    3943
sympow-2.023.7-r2.apk                              11-May-2025 20:57      2M
sympow-doc-2.023.7-r2.apk                          11-May-2025 20:57    3182
synapse-bt-1.0-r4.apk                              26-Oct-2024 04:08   1002K
synapse-bt-cli-1.0-r4.apk                          26-Oct-2024 04:08    901K
synapse-bt-openrc-1.0-r4.apk                       26-Oct-2024 04:08    1862
syncthing-gtk-0.9.4.5-r2.apk                       26-Oct-2024 04:08    440K
syncthing-gtk-doc-0.9.4.5-r2.apk                   26-Oct-2024 04:08    2254
syncthing-gtk-pyc-0.9.4.5-r2.apk                   26-Oct-2024 04:08    221K
syncwhen-0.3-r0.apk                                02-Nov-2025 02:26    5413
syncwhen-systemd-0.3-r0.apk                        02-Nov-2025 02:26    1816
t2sz-1.1.2-r0.apk                                  26-Oct-2024 04:08    8146
tachyon-0.99_beta6-r2.apk                          11-Dec-2025 02:06     84K
tachyon-scenes-0.99_beta6-r2.apk                   11-Dec-2025 02:06      2M
tailspin-5.5.0-r0.apk                              28-Oct-2025 15:57      1M
tailspin-bash-completion-5.5.0-r0.apk              28-Oct-2025 15:57    2296
tailspin-doc-5.5.0-r0.apk                          28-Oct-2025 15:57    3073
tailspin-fish-completion-5.5.0-r0.apk              28-Oct-2025 15:57    2163
tailspin-zsh-completion-5.5.0-r0.apk               28-Oct-2025 15:57    2520
tang-15-r0.apk                                     25-Jan-2025 16:04     14K
tang-dbg-15-r0.apk                                 25-Jan-2025 16:04     32K
tang-doc-15-r0.apk                                 25-Jan-2025 16:04     21K
tang-openrc-15-r0.apk                              25-Jan-2025 16:04    1934
tangctl-0_git20241007-r9.apk                       04-Dec-2025 22:54      3M
tanidvr-1.4.1-r2.apk                               21-Mar-2025 20:52     20K
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 20:52     11K
tanka-0.35.0-r1.apk                                04-Dec-2025 22:54      7M
tartube-2.5.0-r2.apk                               11-Dec-2025 02:06      3M
tartube-pyc-2.5.0-r2.apk                           11-Dec-2025 02:06      1M
taskcafe-0.3.6-r18.apk                             04-Dec-2025 22:54     14M
taskcafe-openrc-0.3.6-r18.apk                      04-Dec-2025 22:54    1860
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 19:25      1M
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 19:25    2029
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 19:25    4035
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 19:25    1777
tauri-cli-2.4.0-r0.apk                             02-Apr-2025 06:54      7M
tayga-0.9.5-r0.apk                                 20-Jun-2025 16:58     24K
tayga-doc-0.9.5-r0.apk                             20-Jun-2025 16:58    6200
tcl-curl-7.22.0-r0.apk                             26-Oct-2024 04:08     27K
tcl-curl-doc-7.22.0-r0.apk                         26-Oct-2024 04:08     38K
tcpbench-3.00-r1.apk                               13-Sep-2025 10:00     13K
tcpbench-doc-3.00-r1.apk                           13-Sep-2025 10:00    5380
tdrop-0.5.0-r0.apk                                 26-Oct-2024 04:08     12K
tdrop-doc-0.5.0-r0.apk                             26-Oct-2024 04:08    9066
tealdeer-1.8.0-r0.apk                              28-Oct-2025 11:23    736K
tealdeer-bash-completion-1.8.0-r0.apk              28-Oct-2025 11:23    2077
tealdeer-fish-completion-1.8.0-r0.apk              28-Oct-2025 11:23    2323
tealdeer-zsh-completion-1.8.0-r0.apk               28-Oct-2025 11:23    2441
teapot-tools-0.4.2-r2.apk                          26-Oct-2024 04:08      2M
telegram-bot-api-9.1-r0.apk                        09-Aug-2025 01:10      7M
telegram-tdlib-1.8.51-r0.apk                       09-Aug-2025 01:10      7M
telegram-tdlib-dev-1.8.51-r0.apk                   09-Aug-2025 01:10    189K
telegram-tdlib-static-1.8.51-r0.apk                09-Aug-2025 01:10     19M
templ-0.3.960-r1.apk                               04-Dec-2025 22:54      5M
tenv-4.9.0-r1.apk                                  04-Dec-2025 22:54      9M
tenv-bash-completion-4.9.0-r1.apk                  04-Dec-2025 22:54    6246
tenv-fish-completion-4.9.0-r1.apk                  04-Dec-2025 22:54    4430
tenv-zsh-completion-4.9.0-r1.apk                   04-Dec-2025 22:54    4136
tere-1.6.0-r0.apk                                  26-Oct-2024 04:08    940K
tere-doc-1.6.0-r0.apk                              26-Oct-2024 04:08     14K
termbox-1.1.2-r1.apk                               26-Oct-2024 04:08     10K
termbox-dev-1.1.2-r1.apk                           26-Oct-2024 04:08    5871
termbox-static-1.1.2-r1.apk                        26-Oct-2024 04:08     11K
termcolor-2.1.0-r0.apk                             26-Oct-2024 04:08    1507
termcolor-dev-2.1.0-r0.apk                         26-Oct-2024 04:08    6999
terminology-1.14.0-r0.apk                          28-May-2025 07:49      3M
terminology-doc-1.14.0-r0.apk                      28-May-2025 07:49    9208
terminology-lang-1.14.0-r0.apk                     28-May-2025 07:49    143K
termusic-mpv-0.12.0-r0.apk                         13-Oct-2025 10:36      9M
texlab-5.23.1-r0.apk                               22-Aug-2025 16:31      8M
tfupdate-0.8.2-r11.apk                             04-Dec-2025 22:54      5M
tfupdate-doc-0.8.2-r11.apk                         04-Dec-2025 22:54    2323
theforceengine-1.09.540-r1.apk                     26-Oct-2024 04:08      7M
theforceengine-doc-1.09.540-r1.apk                 26-Oct-2024 04:08      6M
thefuck-3.32-r5.apk                                26-Oct-2024 04:08     83K
thefuck-pyc-3.32-r5.apk                            26-Oct-2024 04:08    156K
thelounge-4.4.3-r0.apk                             26-Oct-2024 04:08     28M
thelounge-doc-4.4.3-r0.apk                         26-Oct-2024 04:08    2355
thelounge-openrc-4.4.3-r0.apk                      26-Oct-2024 04:08    2085
theme.sh-1.1.5-r0.apk                              26-Oct-2024 04:08     39K
theme.sh-doc-1.1.5-r0.apk                          26-Oct-2024 04:08    2352
throttled-0.10.0-r1.apk                            16-Dec-2024 04:22     15K
throttled-openrc-0.10.0-r1.apk                     16-Dec-2024 04:22    1645
throttled-pyc-0.10.0-r1.apk                        16-Dec-2024 04:22     28K
thumbdrives-0.3.2-r2.apk                           26-Oct-2024 04:08     11K
thunar-gtkhash-plugin-1.5-r0.apk                   26-Oct-2024 04:08     20K
thunarx-python-0.5.2-r2.apk                        26-Oct-2024 04:08    9274
thunarx-python-doc-0.5.2-r2.apk                    26-Oct-2024 04:08     25K
tick-1.2.3-r0.apk                                  08-Oct-2025 19:22     10K
tick-doc-1.2.3-r0.apk                              08-Oct-2025 19:22    5646
ticker-5.0.7-r7.apk                                04-Dec-2025 22:54      3M
ticker-bash-completion-5.0.7-r7.apk                04-Dec-2025 22:54    6262
ticker-fish-completion-5.0.7-r7.apk                04-Dec-2025 22:54    4440
ticker-zsh-completion-5.0.7-r7.apk                 04-Dec-2025 22:54    4158
timeshift-25.12.2-r0.apk                           24-Dec-2025 06:03    441K
timeshift-doc-25.12.2-r0.apk                       24-Dec-2025 06:03    3236
timeshift-lang-25.12.2-r0.apk                      24-Dec-2025 06:03      1M
timew-1.4.3-r1.apk                                 26-Oct-2024 04:08    231K
timew-bash-completion-1.4.3-r1.apk                 26-Oct-2024 04:08    2832
timew-doc-1.4.3-r1.apk                             26-Oct-2024 04:08     53K
timewarrior-1.7.1-r0.apk                           26-Oct-2024 04:08    242K
timewarrior-doc-1.7.1-r0.apk                       26-Oct-2024 04:08     22K
timoni-0.23.0-r10.apk                              04-Dec-2025 22:54     23M
timoni-bash-completion-0.23.0-r10.apk              04-Dec-2025 22:54    8148
timoni-doc-0.23.0-r10.apk                          04-Dec-2025 22:54    338K
timoni-fish-completion-0.23.0-r10.apk              04-Dec-2025 22:54    4426
timoni-zsh-completion-0.23.0-r10.apk               04-Dec-2025 22:54    4140
tintin-2.02.51-r0.apk                              24-Nov-2025 21:41      2M
tinyemu-2019.12.21-r0.apk                          26-May-2025 08:35     98K
tinygltf-2.9.7-r0.apk                              03-Nov-2025 05:50    128K
tinygltf-dev-2.9.7-r0.apk                          03-Nov-2025 05:50     57K
tinyscheme-1.42-r1.apk                             26-Oct-2024 04:08     49K
tldr-python-client-3.3.0-r0.apk                    02-Dec-2024 02:09     12K
tldr-python-client-doc-3.3.0-r0.apk                02-Dec-2024 02:09    3591
tldr-python-client-pyc-3.3.0-r0.apk                02-Dec-2024 02:09     14K
tmate-2.4.0-r4.apk                                 26-Oct-2024 04:08    218K
tmate-doc-2.4.0-r4.apk                             26-Oct-2024 04:08     72K
tmpl-0.4.0-r16.apk                                 04-Dec-2025 22:54      3M
tmpl-doc-0.4.0-r16.apk                             04-Dec-2025 22:54    2308
tmpmail-1.2.3-r2.apk                               26-Oct-2024 04:08    7169
tmpmail-doc-1.2.3-r2.apk                           26-Oct-2024 04:08    3302
tmux-resurrect-4.0.0-r0.apk                        26-Oct-2024 04:08     14K
tmux-resurrect-doc-4.0.0-r0.apk                    26-Oct-2024 04:08    8594
tncattach-0.1.9-r1.apk                             26-Oct-2024 04:08     20K
tncattach-doc-0.1.9-r1.apk                         26-Oct-2024 04:08    4003
tnef-1.4.18-r0.apk                                 26-Oct-2024 04:08     24K
tnef-doc-1.4.18-r0.apk                             26-Oct-2024 04:08    4296
toapk-1.0-r0.apk                                   26-Oct-2024 04:08     10K
today-6.2.1-r0.apk                                 20-Jun-2025 13:10    3258
today-doc-6.2.1-r0.apk                             20-Jun-2025 13:10    3384
tofu-ls-0.2.0-r1.apk                               04-Dec-2025 22:54      6M
tofu-ls-doc-0.2.0-r1.apk                           04-Dec-2025 22:54     35K
tofutf-0.10.0-r10.apk                              04-Dec-2025 22:54    1297
tofutf-agent-0.10.0-r10.apk                        04-Dec-2025 22:54      9M
tofutf-agent-openrc-0.10.0-r10.apk                 04-Dec-2025 22:54    2042
tofutf-cli-0.10.0-r10.apk                          04-Dec-2025 22:54      8M
tofutf-server-0.10.0-r10.apk                       04-Dec-2025 22:54     13M
tofutf-server-openrc-0.10.0-r10.apk                04-Dec-2025 22:54    2045
toml2json-1.3.2-r0.apk                             01-Sep-2025 22:13    315K
toml2json-doc-1.3.2-r0.apk                         01-Sep-2025 22:13    3788
topgit-0.19.13-r1.apk                              26-Oct-2024 04:08    127K
topgit-bash-completion-0.19.13-r1.apk              26-Oct-2024 04:08    4123
topgit-doc-0.19.13-r1.apk                          26-Oct-2024 04:08     73K
torrent-file-editor-0.3.18-r0.apk                  26-Oct-2024 04:08    352K
toss-1.1-r1.apk                                    29-May-2025 20:58     10K
touchpad-emulator-0.3-r0.apk                       26-May-2025 18:33     13K
toybox-0.8.13-r0.apk                               16-Oct-2025 19:30    239K
tpm2-pkcs11-1.9.2-r0.apk                           14-Dec-2025 01:13    123K
tpm2-pkcs11-dev-1.9.2-r0.apk                       14-Dec-2025 01:13    1931
tpm2-pkcs11-pyc-1.9.2-r0.apk                       14-Dec-2025 01:13     70K
tqm-1.18.0-r0.apk                                  14-Dec-2025 02:47      5M
trace-cmd-3.3.1-r1.apk                             25-Jan-2025 16:04    149K
trace-cmd-bash-completion-3.3.1-r1.apk             25-Jan-2025 16:04    3427
trace-cmd-dbg-3.3.1-r1.apk                         25-Jan-2025 16:04    516K
trace-cmd-doc-3.3.1-r1.apk                         25-Jan-2025 16:04    171K
transito-0.10.0-r0.apk                             22-Dec-2025 07:45      8M
transito-doc-0.10.0-r0.apk                         22-Dec-2025 07:45    756K
transmission-remote-gtk-1.6.0-r0.apk               26-Oct-2024 04:08    130K
transmission-remote-gtk-doc-1.6.0-r0.apk           26-Oct-2024 04:08    4303
transmission-remote-gtk-lang-1.6.0-r0.apk          26-Oct-2024 04:08    106K
trantor-1.5.18-r0.apk                              26-Oct-2024 04:08    196K
trantor-dev-1.5.18-r0.apk                          26-Oct-2024 04:08     34K
trantor-doc-1.5.18-r0.apk                          26-Oct-2024 04:08    2673
tre-0.8.0-r2.apk                                   26-Oct-2024 04:08     22K
tre-dev-0.8.0-r2.apk                               26-Oct-2024 04:08    5300
tre-static-0.8.0-r2.apk                            26-Oct-2024 04:08     23K
tree-sitter-caddy-0_git20230322-r0.apk             26-Oct-2024 04:08     69K
tree-sitter-caddy-doc-0_git20230322-r0.apk         26-Oct-2024 04:08    2320
tree-sitter-clojure-0.0.13-r0.apk                  24-Jul-2025 22:42     23K
tree-sitter-dart-0_git20250228-r0.apk              11-Mar-2025 07:54    100K
tree-sitter-git-commit-0_git20211225-r4.apk        26-Jul-2025 02:01     13K
tree-sitter-git-diff-0_git20230730-r1.apk          26-Jul-2025 02:01    9747
tree-sitter-git-rebase-0_git20240722-r0.apk        11-Mar-2025 07:54    5023
tree-sitter-gleam-1.1.0-r0.apk                     09-Oct-2025 09:17     64K
tree-sitter-hare-0_git20230616-r2.apk              24-Jul-2025 22:42     33K
tree-sitter-haskell-0.23.1-r0.apk                  10-Jan-2025 00:53    283K
tree-sitter-hcl-1.2.0-r0.apk                       20-Jun-2025 13:10     22K
tree-sitter-just-0_git20230318-r0.apk              26-Oct-2024 04:08     13K
tree-sitter-make-0_git20211216-r2.apk              26-Oct-2024 04:08     42K
tree-sitter-pascal-0.9.1-r0.apk                    26-Oct-2024 04:08     82K
tree-sitter-pascal-doc-0.9.1-r0.apk                26-Oct-2024 04:08    2324
tremc-0.9.4-r0.apk                                 16-Jul-2025 17:06     53K
tremc-bash-completion-0.9.4-r0.apk                 16-Jul-2025 17:06    1946
tremc-doc-0.9.4-r0.apk                             16-Jul-2025 17:06    2891
tremc-zsh-completion-0.9.4-r0.apk                  16-Jul-2025 17:06    1862
trigger-rally-0.6.7-r3.apk                         26-Mar-2025 00:39    278K
trigger-rally-data-0.6.7-r3.apk                    26-Mar-2025 00:39    352M
trigger-rally-doc-0.6.7-r3.apk                     26-Mar-2025 00:39     28K
trippy-0.13.0-r0.apk                               19-May-2025 17:28      2M
trippy-bash-completion-0.13.0-r0.apk               19-May-2025 17:28    3257
trippy-zsh-completion-0.13.0-r0.apk                19-May-2025 17:28    4967
trivy-0.68.2-r0.apk                                19-Dec-2025 20:23     65M
tsung-1.8.0-r3.apk                                 13-Jun-2025 14:36    722K
ttfautohint-1.8.4-r0.apk                           26-Oct-2024 04:09     24K
ttfautohint-dev-1.8.4-r0.apk                       26-Oct-2024 04:09    141K
ttfautohint-doc-1.8.4-r0.apk                       26-Oct-2024 04:09    8289
ttfautohint-gui-1.8.4-r0.apk                       26-Oct-2024 04:09     52K
ttfautohint-libs-1.8.4-r0.apk                      26-Oct-2024 04:09     93K
tty-clock-2.3_git20240104-r0.apk                   26-Oct-2024 04:09    7989
tty-clock-doc-2.3_git20240104-r0.apk               26-Oct-2024 04:09    3170
tty-proxy-0.0.2-r33.apk                            04-Dec-2025 22:54      3M
tty-share-2.4.0-r23.apk                            04-Dec-2025 22:54      4M
ttynvt-0.17-r0.apk                                 22-Aug-2025 21:50     14K
ttyper-1.6.0-r0.apk                                06-Feb-2025 06:45    535K
tuckr-0.12.0-r0.apk                                30-Nov-2025 19:11    445K
tui-journal-0.10.0-r0.apk                          26-Oct-2024 04:09      2M
tui-journal-doc-0.10.0-r0.apk                      26-Oct-2024 04:09    7061
tup-0.7.11-r1.apk                                  20-Jun-2025 13:10    209K
tup-doc-0.7.11-r1.apk                              20-Jun-2025 13:10     21K
tup-vim-0.7.11-r1.apk                              20-Jun-2025 13:10    2573
tuptime-5.2.4-r2.apk                               09-Sep-2025 22:17     14K
tuptime-doc-5.2.4-r2.apk                           09-Sep-2025 22:17    3893
tuptime-openrc-5.2.4-r2.apk                        09-Sep-2025 22:17    1789
turn-rs-3.4.0-r1.apk                               12-Jun-2025 21:11    514K
turn-rs-doc-3.4.0-r1.apk                           12-Jun-2025 21:11     11K
turn-rs-openrc-3.4.0-r1.apk                        12-Jun-2025 21:11    2004
turnstile-0.1.10-r3.apk                            26-Oct-2024 04:09     36K
turnstile-doc-0.1.10-r3.apk                        26-Oct-2024 04:09    5839
turnstile-openrc-0.1.10-r3.apk                     26-Oct-2024 04:09    1842
turntable-0.3.3-r0.apk                             27-May-2025 22:01    166K
turntable-lang-0.3.3-r0.apk                        27-May-2025 22:01     12K
twemproxy-0.5.0-r0.apk                             26-Oct-2024 04:09     57K
twemproxy-doc-0.5.0-r0.apk                         26-Oct-2024 04:09     17K
twiggy-0.6.0-r3.apk                                26-Oct-2024 04:09    688K
twinkle-1.10.3-r3.apk                              05-Jan-2025 06:55      2M
twinkle-doc-1.10.3-r3.apk                          05-Jan-2025 06:55    3580
ty-0.0.4-r0.apk                                    22-Dec-2025 03:52      6M
ty-bash-completion-0.0.4-r0.apk                    22-Dec-2025 03:52    2622
ty-fish-completion-0.0.4-r0.apk                    22-Dec-2025 03:52    3259
ty-pyc-0.0.4-r0.apk                                22-Dec-2025 03:52    3965
ty-zsh-completion-0.0.4-r0.apk                     22-Dec-2025 03:52    3586
typobuster-1.0.0-r0.apk                            24-Apr-2025 07:28    129K
typstyle-0.12.14-r0.apk                            12-Jan-2025 22:45    458K
u1db-qt-0.1.8-r0.apk                               26-Oct-2024 04:09     89K
uasm-2.56.2-r0.apk                                 26-Oct-2024 04:09    259K
ubase-20200605-r3.apk                              26-Oct-2024 04:09     42K
ubase-doc-20200605-r3.apk                          26-Oct-2024 04:09     21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk         26-Oct-2024 04:09     16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     26-Oct-2024 04:09    2322
ubus-2025.10.17-r0.apk                             25-Oct-2025 21:57     27K
ubus-dev-2025.10.17-r0.apk                         25-Oct-2025 21:57    5729
uclient-20241022-r0.apk                            30-May-2025 08:50     15K
uclient-dev-20241022-r0.apk                        30-May-2025 08:50    3630
uclient-fetch-20241022-r0.apk                      30-May-2025 08:50     11K
udpt-3.1.2-r0.apk                                  26-Oct-2024 04:09    621K
udpt-openrc-3.1.2-r0.apk                           26-Oct-2024 04:09    1848
ueberzug-18.3.1-r0.apk                             22-Feb-2025 21:34     64K
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 21:34     64K
ufw-docker-250710-r0.apk                           14-Sep-2025 15:51    7602
ufw-docker-doc-250710-r0.apk                       14-Sep-2025 15:51     14K
undock-0.10.0-r5.apk                               04-Dec-2025 22:54     10M
unicorn-2.1.4-r0.apk                               14-Oct-2025 23:03      6M
unicorn-dev-2.1.4-r0.apk                           14-Oct-2025 23:03      8M
unit-php81-1.35.0-r1.apk                           16-Sep-2025 13:50     31K
unit-php82-1.35.0-r0.apk                           24-Oct-2025 11:07     31K
up-0.4-r34.apk                                     04-Dec-2025 22:54      1M
update-sysfs-2.1.1_p7-r0.apk                       12-Nov-2025 19:44    3203
upterm-0.17.0-r1.apk                               04-Dec-2025 22:54      7M
upterm-bash-completion-0.17.0-r1.apk               04-Dec-2025 22:54    5692
upterm-doc-0.17.0-r1.apk                           04-Dec-2025 22:54    7209
upterm-server-0.17.0-r1.apk                        04-Dec-2025 22:54      6M
upterm-server-openrc-0.17.0-r1.apk                 04-Dec-2025 22:54    2005
upterm-zsh-completion-0.17.0-r1.apk                04-Dec-2025 22:54    4136
uranium-5.2.2-r3.apk                               26-Oct-2024 04:09    596K
urlwatch-2.28-r2.apk                               26-Oct-2024 04:09     49K
urlwatch-doc-2.28-r2.apk                           26-Oct-2024 04:09     33K
urlwatch-pyc-2.28-r2.apk                           26-Oct-2024 04:09    101K
usb-moded-notify-0.2.1-r0.apk                      22-Dec-2025 08:47    3100
usb-moded-notify-systemd-0.2.1-r0.apk              22-Dec-2025 08:47    1819
usbtop-1.0-r0.apk                                  12-Apr-2025 21:26     12K
usql-0.19.26-r1.apk                                04-Dec-2025 22:54     42M
ustr-1.0.4-r1.apk                                  26-Oct-2024 04:09     44K
ustr-debug-1.0.4-r1.apk                            26-Oct-2024 04:09     61K
ustr-dev-1.0.4-r1.apk                              26-Oct-2024 04:09     91K
ustr-doc-1.0.4-r1.apk                              26-Oct-2024 04:09     97K
ustr-static-1.0.4-r1.apk                           26-Oct-2024 04:09    126K
utop-2.9.1-r4.apk                                  26-Oct-2024 04:09     13M
utop-common-2.9.1-r4.apk                           26-Oct-2024 04:09    2066
utop-doc-2.9.1-r4.apk                              26-Oct-2024 04:09    5860
utop-emacs-2.9.1-r4.apk                            26-Oct-2024 04:09     13K
utop-full-2.9.1-r4.apk                             26-Oct-2024 04:09     13M
uucp-1.07-r6.apk                                   26-Oct-2024 04:09    420K
uucp-doc-1.07-r6.apk                               26-Oct-2024 04:09    118K
uxn-1.0-r0.apk                                     26-Oct-2024 04:09     32K
uxn-doc-1.0-r0.apk                                 26-Oct-2024 04:09    4255
valent-1.0.0_alpha49-r0.apk                        25-Dec-2025 03:31    324K
valent-dev-1.0.0_alpha49-r0.apk                    25-Dec-2025 03:31     94K
valent-lang-1.0.0_alpha49-r0.apk                   25-Dec-2025 03:31     39K
valent-libs-1.0.0_alpha49-r0.apk                   25-Dec-2025 03:31    123K
vali-0.1.0-r1.apk                                  30-Nov-2025 20:57     26K
vali-dev-0.1.0-r1.apk                              30-Nov-2025 20:57    4412
vals-0.42.4-r1.apk                                 04-Dec-2025 22:54     36M
varnish-modules-0.26.0-r0.apk                      07-Jul-2025 03:16     39K
varnish-modules-doc-0.26.0-r0.apk                  07-Jul-2025 03:16     22K
vcdimager-2.0.1-r5.apk                             25-Jan-2025 16:04    450K
vcdimager-dev-2.0.1-r5.apk                         25-Jan-2025 16:04    113K
vcdimager-doc-2.0.1-r5.apk                         25-Jan-2025 16:04     74K
vcsh-2.0.5-r0.apk                                  26-Oct-2024 04:09    9007
vcsh-bash-completion-2.0.5-r0.apk                  26-Oct-2024 04:09    2992
vcsh-doc-2.0.5-r0.apk                              26-Oct-2024 04:09     27K
vcsh-zsh-completion-2.0.5-r0.apk                   26-Oct-2024 04:09    3001
vcstool-0.3.0-r5.apk                               26-Oct-2024 04:09     35K
vcstool-bash-completion-0.3.0-r5.apk               26-Oct-2024 04:09    1798
vcstool-pyc-0.3.0-r5.apk                           26-Oct-2024 04:09     58K
vcstool-tcsh-completion-0.3.0-r5.apk               26-Oct-2024 04:09    1673
vcstool-zsh-completion-0.3.0-r5.apk                26-Oct-2024 04:09    1768
vectoroids-1.1.0-r2.apk                            26-Oct-2024 04:09    281K
vectoroids-doc-1.1.0-r2.apk                        26-Oct-2024 04:09    2347
vera++-1.3.0-r11.apk                               12-Oct-2025 23:25    172K
vertigo-0.3.4-r1.apk                               04-Dec-2025 22:54      2M
vertigo-doc-0.3.4-r1.apk                           04-Dec-2025 22:54    1857
vfd-configurations-0_git20230612-r0.apk            26-Oct-2024 04:09     25K
vice-3.9-r2.apk                                    27-Sep-2025 22:40     12M
vice-doc-3.9-r2.apk                                27-Sep-2025 22:40      2M
vidcutter-6.0.5.3-r0.apk                           26-Oct-2024 04:09      3M
vidcutter-doc-6.0.5.3-r0.apk                       26-Oct-2024 04:09     24K
vidcutter-pyc-6.0.5.3-r0.apk                       26-Oct-2024 04:09      2M
video-trimmer-25.03-r0.apk                         02-Jul-2025 03:10    359K
video-trimmer-lang-25.03-r0.apk                    02-Jul-2025 03:10     93K
viewnior-1.8-r1.apk                                26-Oct-2024 04:09     67K
viewnior-doc-1.8-r1.apk                            26-Oct-2024 04:09    2147
viewnior-lang-1.8-r1.apk                           26-Oct-2024 04:09     85K
vile-9.8z_p1-r2.apk                                08-Oct-2025 19:22    705K
vile-common-9.8z_p1-r2.apk                         08-Oct-2025 19:22    353K
vile-doc-9.8z_p1-r2.apk                            08-Oct-2025 19:22    357K
vim-airline-0.11-r0.apk                            26-Oct-2024 04:09     86K
vim-airline-doc-0.11-r0.apk                        26-Oct-2024 04:09     12K
vim-nerdtree-7.1.3-r0.apk                          05-Mar-2025 06:00     67K
vim-rust-305-r1.apk                                21-Aug-2025 16:57     20K
vimv-3.1.0-r0.apk                                  13-Oct-2025 06:20    224K
vimv-doc-3.1.0-r0.apk                              13-Oct-2025 06:20    2044
virtctl-1.6.2-r1.apk                               04-Dec-2025 22:54     15M
virtctl-bash-completion-1.6.2-r1.apk               04-Dec-2025 22:54    5250
virtctl-fish-completion-1.6.2-r1.apk               04-Dec-2025 22:54    4428
virtctl-zsh-completion-1.6.2-r1.apk                04-Dec-2025 22:54    4143
virter-0.29.0-r5.apk                               04-Dec-2025 22:54      6M
virter-bash-completion-0.29.0-r5.apk               04-Dec-2025 22:54    6253
virter-doc-0.29.0-r5.apk                           04-Dec-2025 22:54     15K
virter-fish-completion-0.29.0-r5.apk               04-Dec-2025 22:54    4433
virter-zsh-completion-0.29.0-r5.apk                04-Dec-2025 22:54    4146
virtualgl-3.1.4-r0.apk                             09-Oct-2025 09:17      2M
virtualgl-dev-3.1.4-r0.apk                         09-Oct-2025 09:17    6262
virtualgl-doc-3.1.4-r0.apk                         09-Oct-2025 09:17    315K
visidata-3.3-r0.apk                                16-Sep-2025 13:50    425K
visidata-doc-3.3-r0.apk                            16-Sep-2025 13:50     18K
visidata-pyc-3.3-r0.apk                            16-Sep-2025 13:50    846K
visidata-zsh-completion-3.3-r0.apk                 16-Sep-2025 13:50    9660
vit-2.3.2-r1.apk                                   26-Oct-2024 04:09     80K
vit-pyc-2.3.2-r1.apk                               26-Oct-2024 04:09    151K
vixl-8.0.0-r0.apk                                  16-Jul-2025 03:06    289K
vixl-dev-8.0.0-r0.apk                              16-Jul-2025 03:06    115K
vkbasalt-0.3.2.10-r0.apk                           26-Oct-2024 04:09    344K
vkbasalt-doc-0.3.2.10-r0.apk                       26-Oct-2024 04:09    3130
vmlinux.h-6.18-r0.apk                              17-Dec-2025 07:34    222K
vmtouch-1.3.1-r0.apk                               26-Oct-2024 04:09     12K
vmtouch-doc-1.3.1-r0.apk                           26-Oct-2024 04:09    8233
voikko-fi-2.5-r0.apk                               26-Oct-2024 04:09      2M
volumeicon-0.5.1-r1.apk                            26-Oct-2024 04:09     40K
volumeicon-lang-0.5.1-r1.apk                       26-Oct-2024 04:09    3854
vym-2.9.26-r0.apk                                  26-Oct-2024 04:09      3M
vym-doc-2.9.26-r0.apk                              26-Oct-2024 04:09      3M
w_scan2-1.0.17-r0.apk                              12-Jun-2025 02:31    134K
w_scan2-doc-1.0.17-r0.apk                          12-Jun-2025 02:31    4251
wabt-1.0.37-r0.apk                                 07-Apr-2025 06:38      4M
wabt-doc-1.0.37-r0.apk                             07-Apr-2025 06:38     13K
waifu2x-converter-cpp-5.3.4-r9.apk                 07-Jul-2025 16:22     12M
wakeonlan-0.42-r0.apk                              26-Oct-2024 04:09    4612
wakeonlan-doc-0.42-r0.apk                          26-Oct-2024 04:09    7695
walk-1.13.0-r8.apk                                 04-Dec-2025 22:54      3M
walk-doc-1.13.0-r8.apk                             04-Dec-2025 22:54    2284
walk-sor-0_git20190920-r1.apk                      26-Oct-2024 04:09    5165
walk-sor-doc-0_git20190920-r1.apk                  26-Oct-2024 04:09    7955
warp-s3-1.3.1-r1.apk                               04-Dec-2025 22:54      8M
warpinator-2.0.0-r0.apk                            26-Nov-2025 04:08    220K
warpinator-lang-2.0.0-r0.apk                       26-Nov-2025 04:08    232K
warpinator-nemo-2.0.0-r0.apk                       26-Nov-2025 04:08    4406
watchbind-0.2.1-r1.apk                             26-Oct-2024 04:09    997K
watchbind-doc-0.2.1-r1.apk                         26-Oct-2024 04:09    6722
watchdog-5.16-r2.apk                               26-Oct-2024 04:09     38K
watchdog-doc-5.16-r2.apk                           26-Oct-2024 04:09     14K
watershot-0.2.0-r0.apk                             26-Oct-2024 04:09      1M
way-displays-1.15.0-r0.apk                         30-Sep-2025 18:47     93K
way-displays-doc-1.15.0-r0.apk                     30-Sep-2025 18:47    4658
way-secure-0.2.0-r0.apk                            19-Apr-2025 07:10    180K
way-secure-doc-0.2.0-r0.apk                        19-Apr-2025 07:10    3101
wayfarer-1.4.0-r0.apk                              06-Nov-2025 03:52     57K
wayfire-0.9.0-r0.apk                               28-Feb-2025 05:17      2M
wayfire-dev-0.9.0-r0.apk                           28-Feb-2025 05:17    129K
wayfire-doc-0.9.0-r0.apk                           28-Feb-2025 05:17    3727
wayfire-plugins-extra-0.9.0-r0.apk                 28-Feb-2025 05:17    502K
waylevel-1.0.0-r1.apk                              26-Oct-2024 04:09    260K
waynergy-0.0.17-r1.apk                             13-Sep-2025 10:00     42K
wayqt-0.3.0-r1.apk                                 21-Aug-2025 16:56    121K
wayqt-dev-0.3.0-r1.apk                             21-Aug-2025 16:56     18K
wbg-1.3.0-r1.apk                                   01-Nov-2025 22:38     36K
wch-isp-0.4.1-r2.apk                               26-Oct-2024 04:09     10K
wch-isp-doc-0.4.1-r2.apk                           26-Oct-2024 04:09    2720
wch-isp-udev-rules-0.4.1-r2.apk                    26-Oct-2024 04:09    1687
wcm-0.9.0-r0.apk                                   28-Feb-2025 05:17    348K
webhookd-1.20.2-r5.apk                             04-Dec-2025 22:54      3M
webhookd-doc-1.20.2-r5.apk                         04-Dec-2025 22:54    2315
webhookd-openrc-1.20.2-r5.apk                      04-Dec-2025 22:54    2304
webtunnel-0.0.2-r6.apk                             04-Dec-2025 22:54      4M
weggli-0.2.4-r1.apk                                26-Oct-2024 04:09    747K
welle-cli-2.7-r0.apk                               08-Apr-2025 03:04    278K
welle-io-2.7-r0.apk                                08-Apr-2025 03:04    383K
welle-io-doc-2.7-r0.apk                            08-Apr-2025 03:04    4074
wf-config-0.9.0-r0.apk                             28-Feb-2025 05:17     98K
wf-config-dev-0.9.0-r0.apk                         28-Feb-2025 05:17     16K
wf-shell-0.9.0-r0.apk                              28-Feb-2025 05:17      6M
wf-shell-dev-0.9.0-r0.apk                          28-Feb-2025 05:17    1689
wf-shell-doc-0.9.0-r0.apk                          28-Feb-2025 05:17    3152
wgcf-2.2.29-r1.apk                                 04-Dec-2025 22:54      5M
wgcf-bash-completion-2.2.29-r1.apk                 04-Dec-2025 22:54    6243
wgcf-fish-completion-2.2.29-r1.apk                 04-Dec-2025 22:54    4422
wgcf-zsh-completion-2.2.29-r1.apk                  04-Dec-2025 22:54    4143
whatsie-4.16.3-r0.apk                              12-Jan-2025 22:00     15M
whatsie-doc-4.16.3-r0.apk                          12-Jan-2025 22:00    2249
wiki-tui-0.9.1-r0.apk                              03-Nov-2025 03:13      2M
wiki-tui-doc-0.9.1-r0.apk                          03-Nov-2025 03:13    4644
wiremapper-0.10.0-r0.apk                           26-Oct-2024 04:09     22K
wiringx-0_git20240317-r2.apk                       04-Mar-2025 01:22     48K
wiringx-dev-0_git20240317-r2.apk                   04-Mar-2025 01:22     66K
witchery-0.0.3-r2.apk                              26-Oct-2024 04:09    3276
wk-adblock-0.0.4-r5.apk                            26-Oct-2024 04:09    146K
wk-adblock-doc-0.0.4-r5.apk                        26-Oct-2024 04:09    2144
wl-clip-persist-0.5.0-r0.apk                       26-Oct-2025 21:29    843K
wl-clipboard-x11-5-r3.apk                          26-Oct-2024 04:09    3499
wl-clipboard-x11-doc-5-r3.apk                      26-Oct-2024 04:09    3006
wl-gammarelay-0.1.3-r4.apk                         04-Dec-2025 22:54      2M
wl-screenrec-0.1.7-r1.apk                          28-Aug-2025 01:17    497K
wl-screenrec-bash-completion-0.1.7-r1.apk          28-Aug-2025 01:17    2475
wl-screenrec-doc-0.1.7-r1.apk                      28-Aug-2025 01:17    9732
wl-screenrec-fish-completion-0.1.7-r1.apk          28-Aug-2025 01:17    3296
wl-screenrec-zsh-completion-0.1.7-r1.apk           28-Aug-2025 01:17    3742
wlavu-0_git20201101-r1.apk                         26-Oct-2024 04:09     11K
wlclock-1.0.1-r0.apk                               26-Oct-2024 04:09     14K
wlclock-doc-1.0.1-r0.apk                           26-Oct-2024 04:09    3368
wlr-sunclock-1.2.1-r0.apk                          01-Dec-2025 23:08     41K
wlroots0.17-0.17.4-r3.apk                          13-Aug-2025 12:46    325K
wlroots0.17-dbg-0.17.4-r3.apk                      13-Aug-2025 12:46      1M
wlroots0.17-dev-0.17.4-r3.apk                      13-Aug-2025 12:46     77K
wlroots0.18-0.18.3-r0.apk                          29-Nov-2025 08:54    335K
wlroots0.18-dbg-0.18.3-r0.apk                      29-Nov-2025 08:54      2M
wlroots0.18-dev-0.18.3-r0.apk                      29-Nov-2025 08:54     83K
wlroots0.18-static-0.18.3-r0.apk                   29-Nov-2025 08:54      8M
wmctrl-1.07-r1.apk                                 26-Oct-2024 04:09     13K
wmctrl-doc-1.07-r1.apk                             26-Oct-2024 04:09    5226
wmi-client-1.3.16-r5.apk                           26-Oct-2024 04:09      2M
wok-3.0.0-r6.apk                                   26-Oct-2024 04:09    157K
wok-doc-3.0.0-r6.apk                               26-Oct-2024 04:09    3757
wok-lang-3.0.0-r6.apk                              26-Oct-2024 04:09     16K
wok-pyc-3.0.0-r6.apk                               26-Oct-2024 04:09    119K
wol-0.7.1-r3.apk                                   26-Oct-2024 04:09     22K
wol-doc-0.7.1-r3.apk                               26-Oct-2024 04:09    5654
wol-lang-0.7.1-r3.apk                              26-Oct-2024 04:09    8352
wolfssh-1.4.17-r1.apk                              22-Sep-2025 00:29    119K
wolfssh-dev-1.4.17-r1.apk                          22-Sep-2025 00:29    161K
wpa_actiond-1.4-r7.apk                             26-Oct-2024 04:09    8869
wpa_actiond-openrc-1.4-r7.apk                      26-Oct-2024 04:09    2271
wput-0.6.2-r4.apk                                  26-Oct-2024 04:09     35K
wput-doc-0.6.2-r4.apk                              26-Oct-2024 04:09    8445
wshowkeys-1.0-r0.apk                               26-Oct-2024 04:09     12K
wsmancli-2.8.0-r0.apk                              15-Jul-2025 19:28     17K
wsmancli-doc-2.8.0-r0.apk                          15-Jul-2025 19:28    3753
wtfutil-0.43.0-r18.apk                             04-Dec-2025 22:54     19M
x11docker-7.6.0-r1.apk                             26-Oct-2024 04:09    113K
x11docker-doc-7.6.0-r1.apk                         26-Oct-2024 04:09    9617
xa-2.4.1-r0.apk                                    25-Feb-2025 21:36     63K
xa-doc-2.4.1-r0.apk                                25-Feb-2025 21:36     17K
xcape-1.2-r1.apk                                   15-May-2025 03:17    6352
xcape-doc-1.2-r1.apk                               15-May-2025 03:17    3150
xcompmgr-1.1.10-r0.apk                             28-Jun-2025 20:24     14K
xcompmgr-doc-1.1.10-r0.apk                         28-Jun-2025 20:24    2662
xdg-native-messaging-proxy-0.1.0-r0.apk            27-Nov-2025 23:19     19K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk    27-Nov-2025 23:19    1814
xdg-ninja-0.2.0.2-r0.apk                           26-Oct-2024 04:09     70K
xed-3.8.4-r0.apk                                   23-Oct-2025 16:55      1M
xed-dev-3.8.4-r0.apk                               23-Oct-2025 16:55     14K
xed-doc-3.8.4-r0.apk                               23-Oct-2025 16:55    971K
xed-lang-3.8.4-r0.apk                              23-Oct-2025 16:55      2M
xed-python-3.8.4-r0.apk                            23-Oct-2025 16:55     24K
xendmail-0.4.4-r0.apk                              09-Aug-2025 01:11    849K
xendmail-doc-0.4.4-r0.apk                          09-Aug-2025 01:11    2596
xfce4-hamster-plugin-1.17-r0.apk                   26-Oct-2024 04:09     30K
xfce4-hamster-plugin-lang-1.17-r0.apk              26-Oct-2024 04:09    5188
xfce4-mixer-4.18.1-r2.apk                          26-Oct-2024 04:09     78K
xfce4-mixer-doc-4.18.1-r2.apk                      26-Oct-2024 04:09    2541
xfce4-mixer-lang-4.18.1-r2.apk                     26-Oct-2024 04:09     59K
xfce4-panel-profiles-1.1.1-r1.apk                  24-Nov-2025 21:53     58K
xfce4-panel-profiles-doc-1.1.1-r1.apk              24-Nov-2025 21:53     20K
xfce4-panel-profiles-lang-1.1.1-r1.apk             24-Nov-2025 21:53     53K
xfd-1.1.4-r0.apk                                   26-Oct-2024 04:09     12K
xfd-doc-1.1.4-r0.apk                               26-Oct-2024 04:09    5046
xfsdump-3.2.0-r0.apk                               31-Aug-2025 05:48    353K
xfsdump-doc-3.2.0-r0.apk                           31-Aug-2025 05:48     42K
xgalaga-2.1.1.0-r1.apk                             26-Oct-2024 04:09    269K
xgalaga-doc-2.1.1.0-r1.apk                         26-Oct-2024 04:09    2609
xiccd-0.3.0_git20211219-r1.apk                     26-Oct-2024 04:09     14K
xiccd-doc-0.3.0_git20211219-r1.apk                 26-Oct-2024 04:09    3381
xisxwayland-2-r1.apk                               26-Oct-2024 04:09    3863
xisxwayland-doc-2-r1.apk                           26-Oct-2024 04:09    2000
xkb-switch-1.8.5-r1.apk                            15-May-2025 03:17     18K
xkb-switch-doc-1.8.5-r1.apk                        15-May-2025 03:17    2163
xlhtml-0.5.1-r0.apk                                26-Oct-2024 04:09    9994
xlhtml-doc-0.5.1-r0.apk                            26-Oct-2024 04:09    2542
xload-1.1.4-r0.apk                                 26-Oct-2024 04:09    6133
xload-doc-1.1.4-r0.apk                             26-Oct-2024 04:09    3282
xmag-1.0.8-r0.apk                                  26-Oct-2024 04:09     15K
xmag-doc-1.0.8-r0.apk                              26-Oct-2024 04:09    4851
xml2rfc-3.28.1-r3.apk                              18-Dec-2025 20:02    352K
xml2rfc-pyc-3.28.1-r3.apk                          18-Dec-2025 20:02    407K
xmoto-0.6.3-r0.apk                                 04-Oct-2025 02:02      2M
xmoto-data-0.6.3-r0.apk                            04-Oct-2025 02:02     37M
xmoto-doc-0.6.3-r0.apk                             04-Oct-2025 02:02    6045
xmoto-lang-0.6.3-r0.apk                            04-Oct-2025 02:02    532K
xmp-4.2.0-r0.apk                                   26-Oct-2024 04:09     20K
xmp-doc-4.2.0-r0.apk                               26-Oct-2024 04:09    5424
xmpp-dns-0.2.4-r29.apk                             04-Dec-2025 22:54      2M
xmppipe-0.16.0-r1.apk                              26-Oct-2024 04:09     15K
xone-src-0.5.1-r0.apk                              23-Dec-2025 01:40     58K
xonsh-0.19.9-r0.apk                                31-Oct-2025 20:57    589K
xonsh-pyc-0.19.9-r0.apk                            31-Oct-2025 20:57      1M
xosview-1.24-r0.apk                                26-Oct-2024 04:09    105K
xosview-doc-1.24-r0.apk                            26-Oct-2024 04:09     12K
xpar-0.7-r0.apk                                    27-Sep-2025 23:33     25K
xpar-doc-0.7-r0.apk                                27-Sep-2025 23:33    4431
xsane-0.999-r2.apk                                 26-Oct-2024 04:09      1M
xsane-doc-0.999-r2.apk                             26-Oct-2024 04:09    4407
xsane-lang-0.999-r2.apk                            26-Oct-2024 04:09    440K
xsecurelock-1.9.0-r1.apk                           26-Oct-2024 04:09     58K
xsecurelock-doc-1.9.0-r1.apk                       26-Oct-2024 04:09     18K
xsoldier-1.8-r2.apk                                26-Oct-2024 04:09     65K
xsoldier-doc-1.8-r2.apk                            26-Oct-2024 04:09    2686
xtensor-0.27.0-r0.apk                              25-Aug-2025 15:40    270K
xtl-0.8.1-r0.apk                                   27-Oct-2025 22:41     90K
xva-img-1.5-r0.apk                                 26-Oct-2024 04:09     15K
xvidtune-1.0.4-r0.apk                              26-Oct-2024 04:09     15K
xvidtune-doc-1.0.4-r0.apk                          26-Oct-2024 04:09    4273
xvile-9.8z_p1-r2.apk                               08-Oct-2025 19:22    729K
xwayland-satellite-0.7-r0.apk                      31-Aug-2025 07:13    885K
yamkix-0.14.0-r0.apk                               23-Dec-2025 04:55     17K
yamkix-pyc-0.14.0-r0.apk                           23-Dec-2025 04:55     20K
yaml-language-server-1.19.2-r0.apk                 09-Oct-2025 08:50    666K
yaml-language-server-doc-1.19.2-r0.apk             09-Oct-2025 08:50    2337
yamldiff-0.3.0-r3.apk                              04-Dec-2025 22:54      2M
yamldiff-doc-0.3.0-r3.apk                          04-Dec-2025 22:54    2305
yarn-berry-4.9.1-r0.apk                            16-Apr-2025 07:14      1M
yaru-common-25.10.1-r0.apk                         15-Jul-2025 19:28      4M
yaru-icon-theme-25.10.1-r0.apk                     15-Jul-2025 19:28     35M
yaru-icon-theme-bark-25.10.1-r0.apk                15-Jul-2025 19:28      1M
yaru-icon-theme-blue-25.10.1-r0.apk                15-Jul-2025 19:28      1M
yaru-icon-theme-magenta-25.10.1-r0.apk             15-Jul-2025 19:28      1M
yaru-icon-theme-mate-25.10.1-r0.apk                15-Jul-2025 19:28      1M
yaru-icon-theme-olive-25.10.1-r0.apk               15-Jul-2025 19:28      1M
yaru-icon-theme-prussiangreen-25.10.1-r0.apk       15-Jul-2025 19:28      1M
yaru-icon-theme-purple-25.10.1-r0.apk              15-Jul-2025 19:28      1M
yaru-icon-theme-red-25.10.1-r0.apk                 15-Jul-2025 19:28      1M
yaru-icon-theme-sage-25.10.1-r0.apk                15-Jul-2025 19:28      1M
yaru-icon-theme-viridian-25.10.1-r0.apk            15-Jul-2025 19:28      1M
yaru-schemas-25.10.1-r0.apk                        15-Jul-2025 19:28    1898
yaru-shell-25.10.1-r0.apk                          15-Jul-2025 19:28    227K
yaru-sounds-25.10.1-r0.apk                         15-Jul-2025 19:28      1M
yaru-theme-25.10.1-r0.apk                          15-Jul-2025 19:28    840K
yaru-theme-bark-25.10.1-r0.apk                     15-Jul-2025 19:28    763K
yaru-theme-blue-25.10.1-r0.apk                     15-Jul-2025 19:28    766K
yaru-theme-hdpi-25.10.1-r0.apk                     15-Jul-2025 19:28     73K
yaru-theme-magenta-25.10.1-r0.apk                  15-Jul-2025 19:28    762K
yaru-theme-mate-25.10.1-r0.apk                     15-Jul-2025 19:28    772K
yaru-theme-olive-25.10.1-r0.apk                    15-Jul-2025 19:28    759K
yaru-theme-prussiangreen-25.10.1-r0.apk            15-Jul-2025 19:28    760K
yaru-theme-purple-25.10.1-r0.apk                   15-Jul-2025 19:28    757K
yaru-theme-red-25.10.1-r0.apk                      15-Jul-2025 19:28    760K
yaru-theme-sage-25.10.1-r0.apk                     15-Jul-2025 19:28    763K
yaru-theme-viridian-25.10.1-r0.apk                 15-Jul-2025 19:28    760K
ydcv-0.7-r8.apk                                    26-Oct-2024 04:09     20K
ydcv-pyc-0.7-r8.apk                                26-Oct-2024 04:09     11K
ydcv-zsh-completion-0.7-r8.apk                     26-Oct-2024 04:09    2206
yices2-2.6.5-r0.apk                                03-Mar-2025 09:14      2M
yices2-dev-2.6.5-r0.apk                            03-Mar-2025 09:14     41K
yices2-libs-2.6.5-r0.apk                           03-Mar-2025 09:14    675K
yoshimi-2.3.3.3-r0.apk                             14-Mar-2025 07:33      6M
yoshimi-doc-2.3.3.3-r0.apk                         14-Mar-2025 07:33      5M
yosys-0.57-r0.apk                                  25-Oct-2025 06:21     21M
yosys-dev-0.57-r0.apk                              25-Oct-2025 06:21    277K
youtube-viewer-3.11.6-r0.apk                       09-Jun-2025 14:05     84K
youtube-viewer-doc-3.11.6-r0.apk                   09-Jun-2025 14:05     41K
youtube-viewer-gtk-3.11.6-r0.apk                   09-Jun-2025 14:05    172K
ytmdl-2024.08.15.1-r1.apk                          15-May-2025 03:17     50K
ytmdl-bash-completion-2024.08.15.1-r1.apk          15-May-2025 03:17    2322
ytmdl-pyc-2024.08.15.1-r1.apk                      15-May-2025 03:17     78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk           15-May-2025 03:17    2165
yubikey-agent-0.1.6-r17.apk                        04-Dec-2025 22:54      2M
z-1.12-r0.apk                                      26-Oct-2024 04:09    4689
z-doc-1.12-r0.apk                                  26-Oct-2024 04:09    3984
zafiro-icon-theme-1.3-r0.apk                       26-Oct-2024 04:09     19M
zapzap-6.0.1.8-r0.apk                              14-Apr-2025 09:40    109K
zapzap-pyc-6.0.1.8-r0.apk                          14-Apr-2025 09:40    126K
zarchive-0.1.2-r2.apk                              26-Oct-2024 04:09     14K
zarchive-dev-0.1.2-r2.apk                          26-Oct-2024 04:09    6901
zarchive-libs-0.1.2-r2.apk                         26-Oct-2024 04:09     20K
zfs-src-2.4.0-r0.apk                               19-Dec-2025 17:48     33M
zfsbootmenu-2.3.0-r1.apk                           26-Oct-2024 04:09    128K
zfsbootmenu-doc-2.3.0-r1.apk                       26-Oct-2024 04:09     16K
zile-2.6.2-r1.apk                                  26-Oct-2024 04:09    101K
zile-doc-2.6.2-r1.apk                              26-Oct-2024 04:09     16K
zita-njbridge-0.4.8-r1.apk                         26-Oct-2024 04:09     24K
zita-njbridge-doc-0.4.8-r1.apk                     26-Oct-2024 04:09    5362
zita-resampler-1.11.2-r0.apk                       15-Apr-2025 06:16     16K
zita-resampler-dev-1.11.2-r0.apk                   15-Apr-2025 06:16    3336
zita-resampler-doc-1.11.2-r0.apk                   15-Apr-2025 06:16    4138
zpaq-7.15-r0.apk                                   09-Oct-2025 12:50    156K
zpaq-doc-7.15-r0.apk                               09-Oct-2025 12:50     16K
zrepl-0.6.1-r16.apk                                04-Dec-2025 22:54      7M
zrepl-bash-completion-0.6.1-r16.apk                04-Dec-2025 22:54    4577
zrepl-openrc-0.6.1-r16.apk                         04-Dec-2025 22:54    1765
zrepl-zsh-completion-0.6.1-r16.apk                 04-Dec-2025 22:54    1997
zsh-histdb-skim-0.8.6-r0.apk                       26-Oct-2024 04:09    670K
zsh-manydots-magic-0_git20230607-r1.apk            26-Oct-2024 04:09    2910
zutty-0.16-r0.apk                                  13-Jan-2025 06:01    140K
zutty-doc-0.16-r0.apk                              13-Jan-2025 06:01     67K
zvbi-0.2.44-r0.apk                                 12-Mar-2025 05:40    166K
zvbi-doc-0.2.44-r0.apk                             12-Mar-2025 05:40     21K
zycore-1.5.0-r1.apk                                15-Jul-2025 19:28     18K
zycore-dev-1.5.0-r1.apk                            15-Jul-2025 19:28     37K
zycore-doc-1.5.0-r1.apk                            15-Jul-2025 19:28    433K
zydis-4.1.0-r0.apk                                 26-Oct-2024 04:09    203K
zydis-dev-4.1.0-r0.apk                             26-Oct-2024 04:09     61K
zydis-doc-4.1.0-r0.apk                             26-Oct-2024 04:09      2M